From e3723530eae459471362d1172e27765fb3d1e0d8 Mon Sep 17 00:00:00 2001 From: JIAL <2287346125@qq.com> Date: Fri, 1 Dec 2023 14:31:35 +0800 Subject: [PATCH] =?UTF-8?q?=E6=96=B0=E5=BB=BA=E5=BC=80=E5=8F=91=E6=96=87?= =?UTF-8?q?=E6=A1=A3?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- 开发文档.md | 3 - 案件登记.xlsx | Bin 13138 -> 14944 bytes 法务开发文档/image/设计方案/1701406777073.png | Bin 0 -> 21178 bytes 法务开发文档/image/设计方案/1701406975702.png | Bin 0 -> 19065 bytes 法务开发文档/image/设计方案/1701412136454.png | Bin 0 -> 18379 bytes 法务开发文档/业务流程图.drawio | 61 ++++++++++++++++++ 法务开发文档/开发文档.md | 7 ++ 法务开发文档/设计方案.md | 23 +++++++ 8 files changed, 91 insertions(+), 3 deletions(-) delete mode 100644 开发文档.md create mode 100644 法务开发文档/image/设计方案/1701406777073.png create mode 100644 法务开发文档/image/设计方案/1701406975702.png create mode 100644 法务开发文档/image/设计方案/1701412136454.png create mode 100644 法务开发文档/业务流程图.drawio create mode 100644 法务开发文档/开发文档.md create mode 100644 法务开发文档/设计方案.md diff --git a/开发文档.md b/开发文档.md deleted file mode 100644 index 0b35e5b..0000000 --- a/开发文档.md +++ /dev/null @@ -1,3 +0,0 @@ -###### 1、账户属性 - -账户包括姓名、工号(账号)、密码、电话号码四个属性 diff --git a/案件登记.xlsx b/案件登记.xlsx index 7499f38ede1c384c2ad774c825f4560edd3eb872..5d4d05db5164f54a20c5b1a9151e2f89bba9c630 100644 GIT binary patch delta 8115 zcmZ8`1ymeM*X`g09S9OU=-`?FL4sRwha|WY+`aKZgF6H#gS)!~3j~MY1cv~FL(oU= zec%7R|5dGZcC9|Us;hg|>8jpm(tORO>Kqj#Fppr%5(xw%M^E5-Mg(Yf+imcI+lhBY zV3HLPlDcZf)Tcc)tkY68gLadf_+2^l0yH#n%u?Slh;E66FBd+j9+ZE5P>j6f5lFOw z=o5!z5Zb71s>Ilvuf$r|FVt{S7MG>1QTGh+ax*GR^4oK>D$KF^R5UfvR)XzHp|%#8WH z+IE%s*#=AoeUtiyakD8?wA4akVkxEMWFTXX!A@BTpQV`>`bW7<3H7vMMizgas=(r} zI0PhSq$5Yov8Jm2i)@!sT0LV>k%nAHUcmq>`_lMAwQ1wx&j7;0z8w5dj4kFy7P#(~ ziyv#Kp3c`)0Qx~|5};=RBDX|>jBX<*IAu$x-hsNk>C+pxwsR)t;|b4;QYyqs_Su+R zi!e+H3bdx8n9@UbkwcB8vLQmIgGCXfTK+R9(9e-&6Iwq~@KIxL9dluuk`v);7zGVQ z4}~@RRgh*7fJX-3!^KV@utuXpJ`$Cm^k?0d&vVL;lL}j3J8GT3zB?LQ^?TU3%6_=n z8t4S>UEG~tQm8K)o;iF$+$NzS#&4LhnB|PRNW+dXvHt1 zhv%4P#;KjC0q-KrNjuh`IHbwKQ`d%-RO(mRugMl=4y)d_*}c%485^3D$Zt0jdR_`n z`x)8`w{maVk7{I9e*?2v^H8C?UusNT-syTFSn&lp?vpw7f)T-06uyVT@&RX!zRLb* zxt!Sx02N-~TNtB+wNri6`Z6dHsU4bW)+E2aT^q$t@->b98OW3Cy~OBZV9LN*uvOZC zWE@IyaYbSx!7?u?rCNF|YyOR~XujW-{pWreetyzUL8l*O#7!^LmxU1++Y>{N1WF`q z1SLk}8ur_?^l)h`z&V40(Ffh*T+7IiqDW|iIf+!qCof0tV2unx;WEn0R1 zPruCf%X5h^R1D?0k9;^T8D$oY3E%yUDXOyqF;)f^k}l>R>yI(mCj%*)_?zE2{MtPH zcJVFX)7aO!v&91SfljYaV&X5oGwO6QB7M(s6C5Cfwdbfjh&a@?-2<4=K%#G1KpcbC^Z_S@m6qF&y9 zEWis=k9waW1q2z!%Z}Jx(=KD$7XU)e~#{v?K zpP9qOTg(h|E`IkRD1EIT>o9KOhV-0G1>dt$nXx}-3kbm7f3Zi(t_g@ff9%uQnM<@aPG84!2VGB{yyG}OhVo)k0-T~ z>PS>zycL`YG(bSl1Fu4NV{Cl22Pes14LZz=1R@NuQ#E!~#5Wt%pvbm8&W zeX6m2sjw6f)EJ-n75ZVGZk*P^DMb;>HP2}+pj6$oXkKi?cy+5}1AX~rP5@QU#yD_} zmhUaACNmBd^UlFRJZb<}9SNXgz_co24`vBxSCUYUO!0%K29t$%sSTyvL~D)FB9bYz zOtYJ#7Mvw?KY(=b3?o1ge4-1xs!O{#vQXb)olF| zSd#5QviUzw1c6-R?%UF;eL;_|{4!iGHp-0pp!337({|*JWiYNEBr@c`t)tHR@djE* zH&~TenMKkur|l?Da$zz-MjpB%7w}leZZZ#`dWlJW7NIlK8rp1>|10sb_boOy-<4VCMke#-j02nQi$CdgO>kr z>oJ{;WXgf4O28d1ijR>d{gNq!08dt$ngMZ$$&~7)L|q2N4HE*5yBgY*@mSV=b2Lu0G>u@@3z#5PH|cy4 z&$4!ywFDK-0RixQHa}H%U=x=5(?ugz!H$%!Im8XJ6)u{9+}ofM#P}?9OtZK~%BdyZ zY&#M7!C4bS1G-AI z;FWY5uokWb9{O*T9sKyJ;m$Bo9Y=jHboS!lndKzt=57?eoAewWx?q0B-%jOFoAvOY z2uo5gZQS9s;D%P*IH}rY-^AF&!-`qTAPlMR?yozG@ zEfE4`WWCNzDvz@|bx@EbZpe1+UMw_f({*MQ0+8)1$rE{Db8ao{I!|o+&Qtlp*u6dt zu(t*E2dhd`y;v8k7jm;?7|%$!lV0G;EsqAr))g+I$ffkJ_*9|u%(6i!gS@5sZ$y7l z+qxTXP)k&U%}Q$`lM+1-)WqkNUrMI(z*#|4Zkl}lOqI}0@PX;F12l$oWEqO?K1%^a zINdDkFG7M56oOmNobLWS%0V1af6)au--3VrSS}=;EfPY?LEW3k-yM9R$8@|UTRYOc zGiZb=j=i71Dab8DUuKU;JwmgiL4qLtV~sk{dclsGLXj@L`kc zpAX4D#zq}3-^&TU*B0;i?KSg;cvfuDm3yh{4fy=Sa)oV`ymwCk~}{b7=KO!wCRs9;PFG}N7oZw5KERzsYT%5oPe@Bts zub6jV&N2GphYbRB)UVmX1Ti|%PDF1)1Nh!T^f?5dlZ4|+xrqUr5R*8NW^C6ukI68i zcwBdwDZ8*UjD(!bRDdfcjeWaZvs%f%VYs`|YSQBD(im%ju*TOZw;p~1SFCInng#2x`}G?oa^z-#Po=FrUs# zF(453(+l^o;c#~Gv@vsL_pr4&$og)#C`%N2g)|}vUSKgjQR;Q-7yPo+G~7m~L! zJTr+B*n4z4L<2V+FM99YS{To4mkQjil6i4$%fjP0est&&n*oHYX4BJI4jUoW&;88E zTCE=$4kxZwAj-s=x+$gGgx_9&pJCRcgY)rm)AH!UWC{Lk&^#NfJD8}4m&8PErfZ(^ zCN1+d^BW{dsgp&Xc38rAia8cbg>Q2i0oLtPcb7Vm!}Yd@ArCSO7fFSY$TO+5q@)Rz zRn`3kTB%IPGC%^{omQ>_x9;Av3>DV57u&el%`LxBoLeV}UQ&Z@v!wnsp}L5(SKhm*A2YUP zWVBp%Z~lC5ow%~1y+*=!+HZXD7rPv?UuI}7I{2!~3xK}G?dBj6??|pN6i2)f=DHcM zr))s}92g-9dAw|c{Lt4v391slLvc+jl%J2daE&b0M?CxE>fp=LyL8bh8);S}pIjTh zN;7PVoor)GHuoSi=b^17&+Lztace@nqg!LmXp>aIclubgG|b185n9ss?GJbKoEe@) zyt`iF3BXe9q!yQ{n2t@@ENr}STXgX3)9|tHIb_Usah&(pka}_2t=3ylJ9jRQ_4i7d zClw+hbZMeU{XtuO-6B&Sq@m|SsNdgWW?QKM7Xc2ZlD^kEK1{wBa)$@S?_%}obT8je zp2JzBtPQjZmWLUS&OBFq@?HzmZcKL6s#VN{m>rYW$e$Dp&0_VP(yhC|b zzNu1kg^RE=^e7qSXc^Kw2-&s(>x3jk43f*j;6=9^mm|}|**NJm{!d-=ljjlR5d;1j zo?ltGd9Pl){-3(~m@cl3rbpr8IzA)zZ^Pp}!$*(CHGG<0Ek*V}jX?>PhjSq@b`Oyz z%Bb1Y{SgY?`C@3y7xR6qqQ8bgX!H&if4ZfAE*H7$`euAfGzCcy$K}mw)}X$IQ}gdINoOZ9T#=_Q4=#adC>WcIE` z18{Or5#1l7+2)&Yu;A}hdQ*KE?S!Ua|T3?66FRSVBz&?MJWaqcU;} z>&~%L7Skh@ggsONj`E?2@1M9`uboG0oB>1D&MwyPf*2R%cf*-9nnsxd^m5sGL>IgH z(O&(+_X-{WOwg+Fz8b;({gTK``bU13rdvCdNXAqU%c0}VB*S64!>68Bij zInV+U>iEZ}rk{JoOKhx@q|EG?oWJK&ct}|m-^n7|#d4dEollQSp*k*CG}24Dv?1sNoQ1M;M0H5kbEl5)CIbPS0|iM9v{yaucM zlbGOdyi{cF!CF`WTb@C|X5s#?y&_S}bB&fqbH|wnV8>q96o#7FCWJ(qS^O9?zB1A2go^nfc;DS{>>TMNPt6BK!+6i%%idR>K|9sQNnwO^IMYS1MvHFb=y!7B@-R zdNK1w)Imr`q=k$%R59TAr%AZKEA;q@F^q`kna@+>XuMbLy-knB*Po?!zawm>9mn`3e zeK_EFg!S3IFgB}GOf=+L$OE5BGY9h|E$v_Q+T*IF-(88QfTmzsKx48c|zjk@9M&wt&qX^)XAhZmEAKQ_7_ zq+mxr!2=`r|6+b+9*u_g+a8nc`&|HEOnseJl(H?mN@eR0dR*Gob0TJ8DzVeS+h>?F z*)g1Ho+3uMi062WxGTy!up6J?;gQ>CH@&b_y$d|nn5S-r*fbx_pL|v5c$|MZRfu@p zJaCyvjBz1aOG;0X2|xa*vVs#lULH7P?Sj2s<#Vs+v7dMj1{PR=2M$1xOS(YaXHT~a zDB%>n9%;)4!!VG7$AYe&BWfCgj&<1z@nCm|pdU65G{_~LpqFQs58{#bq6ny<^u=*& zC%Ux|4umcXl8;*p(wIgdQ2J;q^5I$EAQi}^s7r{V3LQa6aG%Hb&)3*)UL+qoVv{;~ z*%0jCxH+}GORZH#01Bc_a-3(nD#<174PzD)FPRGrN5X&XhvAx48tG4fTGRsi#$%%6 znHrLW|L9?Sik)d!se!ov2o7A>13@9IO7|iwD1t+BZN%&_XCD(!09Q+qA9f>-JUX1! z_1!=`c-WfvsH+7jc4QJ1i38$xE~^WDu1Vp)5obckZVi$EK!Z!wJ%2|Ww3cnMoWMjN zckbNJEBOswP$h~vELj@DWdp)NFPs}OIG&J{#k0wBC=x{AT)Cgu5(y%TDKCk%=0G$Y z$zpE1rbo0aizgE_%gR0&?D*yH55~pw4--%xffHG3HuKW7kE`2fhe70_H!@T z5j})}2H0^)3i=wCJM3S7&OiQIID-`x2z~TP=-4{hEtNy4^k_!*80knOI1Wsa|6$_F zN43z?v{W8C8kxLg^|Q}i_q4@x5Z`S{hoSWP?WYy2&P>JWjZV7n=Wij4^Vt`^Hw`um z;M#DnJH3{bSLe+kOL^MnW>WTF0PU-}``*h~)pEFb!k@c^@Cv(c4%-jMg}|`!HBfE>9*th z@#tX2LEWqgUCr#CxAc`W)$_r^d-0R{_^&e>h4YfeQ_h#+E=M}X`!|mK*uDc9KZK1| zua9QR`L1*p+habpRw+=b7tn_Y0!?CpKm?$8E+U{zTUnV@jG&Wm&;92}vG8;~ z@9qLQd*M1N|1M@aPWk!zV!mgD#0$vfT6qC9jHz181vGsj`%oqx!OT01tQsu`3#)Zj zh~Y}xYMW;#AN=ZgtTRR`#QmLkBuoV{#=<-xrz?jvqKp1no9F%dg!)TMFHk6=Dj_qz zjwvF-`w2JjB_WEEeFJp6+cU7 zFPW@d96E#t8<%W-r%bVy$%p-1xnhU87DfPp#*La^PTcI8syyN9pBR0&*C*f&vNTZQK8(ixD##~{bz<(sdJH6C< zao>Hjoug)|G_+M7{p#&K_s?>E+n{n@Ccv28+@M8;^uUgj_*Rpa*s8y`=^#S}3uyqL zveH_%l4#d7eMl9xa{p9gumgGXd;C12={U=;stniH{f8=t%<~u#s!2sYrXqubg4U`4 zOxJW#cN)3hnOq-<*lMMPtEz*d?D^*%j&|K~E!XuvPK1gn4Pzk0=1=*o;~jb=z&vdbN_UKme)BHV4F$#yJAR7wlUa#x60aI- zc2Mdf{mxH?e?=AgKSv``oGgh>VuO61d2QLQh%|7b(T2yjAJF!P%<5`$W;6#_CbIP5#Q$ zF;ikM%Te+sTk-+vpI_V+Y(#`wQf4!xXG~~lNs0&{kV)-l2n_B=Bi_v*UNQB!BuxOnZV@=c~2)%XBb7 z0A9U@xpq#{tPOH3o>+j>q3*Al))NPxxuc>3xyqnZX?waqwcE1=!IZ4 z+Nd5rIYZdug7py~@s!W`wH^cqeGML|LLH;OB6sg7~xwx2$>6nx6s8fS(+khGNpx_+&)< zES2-i_vYcli3Pd}mI^i4)U2Z~sNu^?kq_+a$2rPVkF=AoMEQbi61llEz+?V3W4?db zhVB2RUQkLWj?|)Sd*~Pt0Hr6PCge(XiTd=507|gR)(dLCK19hvqRalYS40&Q^Agie zM7*rAO$aP@NcaPW@nP|ZEN(n!(qq}#Y&b;D8Pnjr6Lyncv0;3Ng`NIUZnxLM%L^8A zl3Pw@?Lkp&)HO)W&D8zf+Td|fg~6V0QAuGlVP`P;)KdPJ^Koj|0H?OBZK|UIfpl;6 zoV&BbVB?faOfO>hR;;}yi^S}k&(?R9R!6SA#FbyaNB9@=moa~;6K^SO;}p2w#Z*ut zA}w3JHA3Nfk2kbXl~2AG$BT3FD+3-SRxy}W&|J$#5V&+TTD?`QmM-L|Uqa*Tbexpw(w zbs(9fbzqLjYK&{N<0{-GZkHyC9|g!=MCUG6wwub`DzKh%Y0L@kT%?F_!h{4a zdWQdBHTp06H`#wRqy#)JLh}E;x%`(10xd$~xkM2sm=g}L=@U{o!3m_y;HMqvKV^y~ zfsvUh;g%ar{y)L{v`OLp$NvxVb0j2i(~|$Q2A`t){)qtoUxYTU1Wj(51WX=MMBr5d Ngohq=gZD4t{{T90QceH> delta 6266 zcmZ9QbyO70yT^Bl1*E%Um+o$)J61X*q`L&9W$7+iQa}(8l#*_iZjo4!1_9}A5rND5 zyXV~Z-aCKHnR(_r&(t&LJm>S%XWG8z5(F<7#jDSH#*FgJjXMoNh5`VHpurzNWH9)c z`w}04(hd3_e2#UuN(}2h0w(n8mBLk$J;sqiSy?z^0v|nx+U)h31KU87sfVr)qnGOL zw{M+&8{37pm)MoW%2`abtV}55IOKt*X?OI^MuJ4*FNDjc9oa?*X`|5Vicr{wNHKG#GodJ^oh= zAixT#Hu@SaB6=hRY^6HOH-&mcmyxe2c}JyeN-fnVtttQ3x^o0m(>O0pH?} z>Z6CSkL?Yv=&UK`XE2-mItYrPt==)i;?8THp>DSbUkX50Py8y$vbc{ZOh?DHJ`DQo zHvd>9XAo)imY~M(Yj_l&gcR)A_&9<|?9WjBJwhggWLcDX#J(QwB&L3Xp(#lPwa!Ok z4_;7vcRNUPPqnu?cVe}z7p!V!j(#vXWcG8g+JBK4+V%Q#6o_sc2zHpl-rr+rq>@>Q z|9O@c*!B^xH=B>+P6(KiArkJ5$@^Yk;TpgAmTg?+;%jkb>_iLOTmi8-VgIo!NhV@O zO++n*>ctC0APD{wM1t4bzJVeC6eiXn0DuGlpA;j5RT_-BFUpdv812g#*L!Hv?`t&G z$LfD+=UK$B>Bh>m)I8G`mL$OCZy+jBiy)H2VhUZH41ES1nEYiknmAPPSHA3Zddao+ z7d4}%lhz%JadE%uPq)oy*{8}H@7sWOo_;)NXt>cyv3Oawr~6y=k||-95K`rtY@jeD zmkUca%*cH$O_LZa5nGE=kx*TVR7sF#cB5R}fk|jMRf&<(i11F6C(a)7Q}Kow0s4wf??8pll1wt?Vf>xaW_>o)C%a6$XPrJMZG)8DGy#cWlB1N zc?fdRex2A(LLmDH;YLguUk?d=?i1SsB3YGKq;6Y=(s-Ecc|YvZh^l_-L;FRohM@xd zS+YORJ;?Bbr3sO$Iak0>dm^wdu5VViEBE{-GU|Sd<;#qHZ*bNGYmCNK4P{4gu2$%# z9|xfwHehd^T+M1KbT>c|loA@6N$kogD{Ipex?_qK3p3OAGE5S^p5xxdz26$|(#hu} zGONdH3iO$56KI;N+)U&a@pvpwJ}F=R)-p!>d*Y4ab&7Tf@SnJ7m1nA$bxC-Xp=29{gywgyJd~G{K&aN0d-wge%WO7a# zFrx55!6M<;--NY z^;Q1k8UBU&MQWMH@pQv$wm7e5&y)A|K_YY~hJH@(4lN9p8cIAw49uBu&3`m+t~5d6 zS5RsFUp8wOESr!K^=7U0O5^9u?;u~gmONA{%I8_MeBoOr7lqSa`POf)uV8K_vm;v2 z>eU23lPjl+1eFo;h4O0O+0p=|k)(=o7Sm5Doryzd*}4)19_4il;uX%XM6k0sl$iss z%}w6E;@L9N56hpu4ZexE;2GIV_8i)|Fvu`!L~4(x+U!GfNSs{G4pJWfZtcL>E80s| zb)uT^K_5HH%IoX71UT|K#9^5q8Xju$!g({{kJI8S!)Z6dzc*TGd&?1>CM{!j!FxJ_ z83S*D-3T+}X*9FHxq}*yhg%wCjD8VRR(@+a7N3$)AhX(pNOA4qXs5k>x7B)V5_YKQ zvl$G1-l2BjrA7|DxjpL3Y|~b=o$*Dpy8#|7NdyptTwY@M8hohw1S4JBX6h$$#^WCbLstyzD`T(xW_3OL6HU=9Desdi7T7g(xnwsYA2h zIZV&Zj>P~;z@0xaXX0g=p~e#w@`wgYqj*2;zb@jZSo(Z{>8^CY#hwd(qWf4_buQO> zDyQdFe{&wummB%W^B2-jtG{vGOIe8@GJVbo%R%N?q>3x z3#JM8F$lMlYSs^}rZm)EQuERyw&M_QsfARp-Lxz77}f)(C79d8>CW5N@E;n&ZH5n@ z+sV~!zFi%x0=*BG+MK5iiU;p>!z)vo=n5w37?E^e&SXpln@5Op=hbU6CgbDWu$q|X zGYf|dnDVMah($%4Edw#xER`76l z0^?h4S#St|XB_3_+o#9Sdpg!PC%eq>_^80DG_Rc}!MCtR^M!qt3Kxl&b}W+{!1ojjY*!Y%GIA0PA859ALlQs6cc}Dp)Y;t^H_l+oO4X$^w=qi}NA`&sOzA+Enkj#~(BHRI*c5`=7dAdbT`k=X=7 z9p5msfPyNR6n0L_i3AeUFvAP)$e-)JnACMP0ScZa%=JpoRZ5-z_ER|Vr0~fmeq&6W z3>{}oBr3kZQdYaTMPuy8d>c+k#V6r58T($U_|msvl`(Kb@;#E30<64G{G^^`rC?S* zAWlr%zQR(DCA=eH6tcW#SB}%UBPlLveH%|`{Y!e_-m&{w@5w9dhW*LylcpatB>u_m zUy|Z}wh)lcbbTx(S}frW&W1vCbhu+115qs44bKwFg| zh>0^M7Ttn_#u+`&=N1PRd5K~ji>+5ku^~WENMYS~$r?THcpC@i(R^ziJ0=@!9gB;k zTbJ`}xW>eXLHZh0{0ZKNJ+(Lf(`2Z6-W##YcSLwJg*NodweYD&!GA^jD z8H6?<6Do_}pyI=7PlM<9`^k_<{`+N6WGLp7383JWjs(ki#!^(kr2gmJ|#Szvh_eRSP_q4 zx?rHzjz4nU8PtbS+NLmL*OD29ne{O}3X{6pme1cZ5@!&%CAp`jM|%(wiEC7gX=S6x zCEwzSo1hJ&RHsO!@)+*6Go8>-*-RPO*o6)YvxZYk4Y%` zH;wHjHndgrR;#aO2VrNKH)Iqgn?ssgPike(EL3`_xlvyv_Noa`kF&@Ly;Sb)dLKzX zHzi<=P$}4MKOK~BQ2C~|Q(Vu&IW5I`M>e_n{eznym}}~;wPAtAm@SuTL6Id|iwHulB~5@% zD!jDo;Sds+(Es|i{dWzWOb_1akoL;i;^wZj`M{z8!df49c+YT?BUPPUdiBor9B+bX zOq0PWgE@#_V{bX+W7Pfi?~|_N4<--Bu54Rm#;OUeo$FE;(Xc+q@+1=*h^v^r!=zRU zOYCpX*BGTtq@@W5^VuY25=$Rbtgfl?>AAGXyfbOkWn$D)WT_d&h!c%xY}dS^$;V1& zD|&CWR2lbrQLu&p-x$;DPih>9h%u!?rXrbtjWmgk z@$AJHOpAS3l93I?3a~sR6y^m8qR^Y9>K<%~M7WK+spy@XFFli|dp_k7I(~#PD6TQ- znB#?4Wbi!%*??1inKV^2E@DhU`0n=(M&Z4lE7p&i0+?0f$L*JH?m9%jB$_jQNL_70 zMmO#pM?}4Y>^T>3gF;>uUx7r{QRMpEfMFb1uASdvnuEoQl`tzqJMmTbBy9PAhrz0t z`P{_^a_r~T_|$;{Ey z%JiT=QB|;vkac#0{Dtnl_Vu`#=(1^PSLZ%=Uz$06=RQ5>7Z~v9la<9upV8qk6x};Z zd^Z#t!hmR{49DpuNfyIh8xyP|O^o9P>DHAg;sH{#j=?Sn&XJ#sWRFT&qZysXnRnU^v8F7HtPw?} z$&fRf5njs;OD+#In_=I5VRgy0Xkh*q&HCNFvuFg3!Jn4T#V4;2$wdr2`=@tCsl{xu z*iPNgmsx@F;lDez2{mu{esX};)oo>WYzhaqnvD%#b5f0>j>hB|#-^B}L>l4FtOlJ7M(0oD{xGFt}5DtpX{V!3lW7a@6Ng`9j@t zTCK&@8zKRA2YIPzY=!fl)L9R@&cT%qH zZ5gR1q-*2~=d-EHSXjYTudN4>t>kIjao-m|AlM^yZ&wLvr(&bJyhFd?s)Uf!#wm-y zy5Ol)E5T}NY<2rSW-F<`Y_W@JKk}+A^zI@^IQ_K08#Ppl@8k+yYSH~+A$&W~|K1N# z0)eVtg*1+yGD`wWrhjpYh|D%PpOMPsX1||NaMUyS8VvT7Zf?2CVaYu5% z;-XAX$AGF9ni>tMHTYg3P>UKX67XQLnd$hjrt@0VqOINY3Bzg$hCrA7P{*s&FQ`RV z;V#4*jv0@ws70hZNuk{xI?UZu`^Sc|mL*@nFOv~IvJEtEk3t>A+lW)`%ic7gE&Itf zAn$(wr=T}ML#2(#dn0-cn&-wH zcN{@~EbiqjRMi%Sqf}n=vVw*z#}***;^PNPs%+wpf3U{qNKUSwaoU=8n4zW#^%KFc z=CV#}9XWAdkZ7ULp|y$ei-qfz=-^31ZSCkG+z(Slg%&X-J)Z=p4>P2a5I z@omn+ap%+#uLMry>wAc+Kmaoe= zK41S)!SgRKoS&Bzon+xGH?4kxp9Ki}hbBhd0KG86?y|koKw)xIZ0D%FFZ)AjPfVO` z2|ucZ(O~;dOly$?y&@{Ta?y7ACDJTS-P`xw?N@)EZ88q{*Vn1xf~igfL}*I5fMsvp zmj!H6F7E1GG8OfCjDAQC&yzu@$B@MQxQOsjkhMG+kA0{GWcA&5zc^S_&%QgjS@3cxa=XnsY|kaif#5iJyi^>7wgGkPyR z$zcj*z8{T*9<(gE_DI(H@#Y^UB@9^C3@=iEVc{OEuBgNf_gwGYr93E{F*JR|(fSX%f^vDC6t+pG6f1ET+2 zHC#oUp#F^00JT0z=qJh(aHm4q2EhkKxoG}H?f?M(6Y2W5P-4O3M8P!w7qv6 zKcQDylu2Cp5eG9|RGa`VCPsxajR)5kV`lmP1nu96-%0-EY4CM1Qkwsp{rxKh03_(1 zazT#&{=)E|;-n~Fncz3#5Ssr9oF@oO_`fFr{8-^F;*3wnr9#2sfS+)(!xNwOMJYjr Q@|qhiEWr$#<^4zhUu5m6QUCw| diff --git a/法务开发文档/image/设计方案/1701406777073.png b/法务开发文档/image/设计方案/1701406777073.png new file mode 100644 index 0000000000000000000000000000000000000000..116fdb68cc0820860dff873a973389271551fc74 GIT binary patch literal 21178 zcmbTe1z45a);7El0R;gA1VKPh=};u3C8ZmYHfRK-I|QYX2I+2rO*dF{cZYPhApMVp z?tS(??|HxPeE+`O*F!AUdge379P_^KagXUOEBzQ7lL!-mKwyiB3dti7C=&?8Ig$(K z;3wNzlMHY{v5g>45IA3v|D!aRW@sZ2 z@-kvV{ED`kt7CT0@MiZhHZ%lpjrY=ddS6a?a^>>ncL}yBb?){-c%kRtg{rnB@|~}0 zM0xv7{=6csqT*Xx6~=2_Pqk0~ zxiEAC@hITG`?670Rkhg{lQDvhp570OmWYUGA+OI6Uzim>fR&Z?%9ShXvTriZ3khGw z=T{WS5YfTUu2xi5wpr}HyJ0n6TwJ^}m|%n7)s08P#1!D`JMD%kboUmmTgHW?s{*W9 zx8UhN@_b~Cj_ACtt%pGH2>f?nW`F%YS%;&fq=bLtMibiDBgJ8I+SSWp*1GSTm(0s; zsQ7F(YGR1}0irou^NqP8unxGb#%T3Z_fPmVFeEP?%Zh zO1^*p{=YphE6&)ej7I@iA_&cg;tvk&4~TbkV15-96@?x@w%|ugx{7?V=jARY5`~2^ zvoq2De!8zKciJ8WVB0HSVUP;i+S)2Bd-%_2z`S}Mfv~*r|8nKwfkE(4LP8?Bwk3jzK$v}0@bM!>x5(uG z--8Pe58q01YnjEw!kTvTLEpc+kzkYG*^QT(nd$B2HRMdQ*;!fq?e;NNAFyawN@fy9^bH!M3_GLLzN8|2=AY&yzY0?~Prj4A_?eKlF=jhmdeLiS)rXe*|D^>%cG;kEjaU`%<+=bhM{ysfdZsfoFlV=LNUG!cEd zYmh*TjsNb;b8Kb~))rLFciPd_1`jiyUoKJalvSc#mvqK`DqA5Zl=+v+G^ zsGm+?hq!Q5Z@jg2M(8tG=*@p##IEBAU!e$cx;twpc6*z)Kg~=1g93eDDsDKIz$@{Z zs^@}&aya;f>FM7nQ!J$1d!z1pMkl9z6D0EPccX2dTYWd>e`Bk#5zS#}Eln~fcdiF5 zVD6NSF08<|ccI?4xQVRIpK6GDx7_wiQ(RD<9%%in?Aek}LtV?}*ras_rG|Tk$uFhKoH6UbV7n;eWSehR z{@Jv)x@_m)BBqs#DurMkuS!Lm15;U?ivhnKyl6Ft3(q0ewVTY`dt!>i2m=2-8 z@s8H>GF|CB!A8)!%@>61 zCM+Uip6Gv*+x8Ee^>I&DjV28PV*FUXB!M6^eIf2|^7QEjG-R_>5x$!r-9nWZgqwR- z9F2OtZZ@D9^sauMg>(N`R z;?!u5)1PiRYQ=nx#NOjD=r~nQLZi#!8p5>X-0dXvE(>VrA8M6(8Yz#%m0OqC z+HN_}OW>w69Qy~2g-)A&-WE$u3#Bq(kuvGLryd2+=5_ZvZDzsgmofFNN#5YhnNoTF zICHb)bcOo$!zDNNLH*G(vzHC;@z+CxPY#t3NKBFVLoRlXz-hx)jN~|LKGn5|MYyP_ z-z_5rFR9&#vy5ioqm*}WhlcMSiL`h`Al{5=gmZaB*!8Ce-yXBZzMSVMBsaSGk}a11 zW-N1p8LGW}X69Q-#-6XK<#=WV+mn_7->Li^%Td@3?k#ideJQ-VDc802vZ^tJczFve zEQFZ0hsY5HM{IDFSINCbn3?T5`)bh^w}g06tuJA5(%in2{D#`1FKN33ih+TYgo2m& zBSir&VPUBck@LdcIwf-cJ)d_A&b1);hEzB=!W04vK0d9vcSrAORl_^taph#unHy@e znIucwq*JeBCOA-LZ4lceT-z%`Dmw3u2u~`-F(fQ1 zW3qTMH2-+4YL1F6rr3M0C7mxr{R;ELpecoza&o87KkXuU^?EOMUlG`w4UNOwo5;u* zG!1f=e|-g^+6b$K6YI;Nr1$4V;h`Jb%0n||`NM?lv^t6010Ojs_BH>Y%3T)?W^`^c zi0XZ^!7G}fse+kOPg+!U%nu2YPx2gUb$UD90J`no+a?zUB3gQ;iJ`QD$lG2P#TeiQ6%BUL;=I zSKl8e@eRq$n*Vv^Q5T+>R8hX?zny&AX%OyT7k?7rtC>QB73aIb(Kh7i(8$+R@PT<_ zhgUH|H1D2Sa>kPwyupmQ=`VHRDdfl1G7G4#bIgZT7SnmQi^F_z&c!2hdUt0T6l0}l zvCtm$%wExzlb%MSUozL)6>YxSk(lpbeA=apreGG?iM?E9@o ze4pn}dT!H5RMmVX(n}rFqFozd>%%i{Dojbm!L>9eMd-gcSQ*`+t1)Y(BU#zv#!LGc zWN!0*%1?LpE`wn=*(>hG>#u5(GFg9I^KthU6}5xiE@FGO37g@&omg$M87bw{OFkSn zW_Px0iC?+Ak2@8;D$b#G>^55pJYP;;>ZA;e z6;${(xs!xF?LLuOi>-0*=-n~XrCL`BM5lQF@UW_;VnXvDfBf-jAggRfEy8-K*Sm!l zR_J?D9-?d4zM|o``7cd=cCS6?%PShwLmgHFB=6*OT%glZHu`tCC7mqe#iaUKVktw8 zA=+TbL)NG&B^OPui+SNjtqi8eg0kTmv|mmx@afac%FeE|T)g^lxrZHg#Fu< z|LV&po?k!vl4NCN(K0g!V;RrDxT+oYP5_XWU$QT7+&7PCDYjgcXN)IGPtqzTP3mrM zl~Y-~_T~SCNBK``lL72aetOfwSSi`-j^j_lwcDqxH7juYcrP3Ad(fD(mACefr>&hrdQuDU|G5(zkg2^(@)QRigI~_Ep z@KIdzWZT=xMP>>Rd>0V6LT_;qdo;HH*wUy*xiv!$-+cJ=W$?zQJAtv-s1Jw{X>Kd8 z2;s?`U0>*;Znq;S0ag+ca-n{}EGT?_>-Tlij}xJge+FjHVRs5KUP$1tIX`Mx?2|+U zbRa4!%FF8lk`5qe|H;RvU9~PQD|ASFeK||+-If#ExqJGGy1!?K=dP zf~Imm*2WwTb{0z~oVj+xM7+eggi-&!UAH)6zHjm_=VfOnYYqLAM!d<$Et~Sm zR7jV%uCEdJ#f|WJ`f>a4F`s0IMV#yI#_Q@PN&<*)q_6*}<`+FiGT=|t3&&ro9=M7j zZ@xVP^&T#AG&igebMTDsd>BIf`oVuSyg&N;`?r$LDalh@aLmC>_4e@akdEavQ+XGj z*4UN&_~nZiWeMlx#;iw--O;h_7ZN@H$orEb|DE!Y-A5t%H^O(YYU;xE?31haHL4eX z&P=m-WHbT(@6}hPaiE*ffL@*L6`m$)XJ@Cbev?4)R@V2go_mWudRsG1gUY`Z0ia0> zn8&h7OxlcyN1}o-BhBqo>6a02xnbamT4KnsI{)YV1jhQGhY*cYmM&d1#TD;KT^!(w(jYGgD5U_#%K2eC| zPcWhXh{ogo%m(C~y26|y2Z#(nYvwEc%f%;QBf!XzP_u- zR~E($eSQ6e+9%mcczr<2dwY9@goIuLEw_h-GvTzS5#8qD^zKZ~V53!CZTbFMwntso zY->g=y{G96w0Yu^&E2)~GW_g@hKhbkR^$gfpN(Lu6*?5)lEQw3__}PC#vO4yg}QB= z&PQd`w0dkA=DRCHMNjZhZhgDOwX9m}e9UG%yyL5NM8UwY+L*jsz? z0CC}dW4pagj}*Ix&6rgzr&ZFdMy0jVlPAu5V>UqC6~7gsKe_hJGx+ju60u#J00MV7jx=Mfec)!`r^^ zuQy(JLbmtu^P3UyT^Y=Gj~H&>n{aU%x0^TP$DpO7n{SVe4hu`o&UjlgrVI;gDz)Fh zsg@J}mj*S?I+;5g5)umEOiCN->&^LvC(|H7cw!UR zqunbo8LJ@SwhhFh9nR6ZXurIA4yjJj8o4`$++phL>(i*Pyf|tC(hd*XLU!N3v@D-b zQpV#|`~7OBJZ4kpBR+q4lBdaI)c;Y?6UR(Nxbg=bziG7wpwbKxe8Zv08sD-@^+hl0 zFtzpEGR{9hZWRc`!sY*NAJonPG9ZkWbqNa#%aOGBXUC^H9tKnpE;#IW^d%)P5h$KF z$@=4u*PvaL5!Eex>!VIhO;vx=WpXUusb)%WmiWa^QEUHwr)PYvKtXx|`zS4|rvMus z;Z@E*N_!gAq-n^ z(mD2GgC5F*v?;e6N;CdNPYZm@w4Z`tv)-RWOwe1bR(o})epet++e*Va%)z!K`;m-?m(S;WPi5>H=cjnVR2v?diqEBUUjVbNcX@7mR;wRo#)0 z*W`#GBI>@D@>pqDWHY@>PyjurMRZa=M6N`9QSO=J8)ss%V+?_d1%+~VhiHE)+~^$+ zrFNF}x?qn{85qX;w$|*Jkiv;W@B+Uzj-0g`vx`2eqz7R~e~y}I&A#qIi%#L^!68YA z?2qP3FVQJ2s4vp#a!+lA*zpR%>qvEG-o8CA-gdaRPR4F>bKu-tMr}P*U!quGkV#YU{;3KjfF142|l} zIhE;0_sH-a&qk2h-(7k?*QV;%I#Rvik@3eL07wkqMkQHiNNHxD8;V?@rPbCO+lZD& z1=6wdipG#oYcBGme_X7=q~k&-c~Dva3D=yn49x)d$YupW4ABo-l#=izYhh^ z?c-GR#rZF21nRe{olSSFc)!Vy2J(Jr5$AE(<$gVhAfOL_(Ef1xV_`dIWzd9<3whw#xk#*TYu)OQayh0&3G4bqg9FLW!M=b-KiA)o%hh2?!JkprKhi2 zEgA8Pj;+?CI)7?cviOpQ44*!bDprC1#n9tmsrw)Md4ghT%3lw?;BY?rx!u86`|R_% zrR-4sf`S6w_Lw0^Dhr>+$9to8j;qzo@c5U|oprfWZeP2I$3PHG7S`Bh&K%L%fv0(} zNM+AdbG)l|AS|GNB>Hv9Y`PwavI|-mulZlD)U~SFXGfrtBHXw&Di4GXNP@D&i%jy^-Cy*Hv9+QJ;|tPdTzZ(Z!a1}W;cG*h;{SX zF0mtxgY}L~->ig$Tlen=p$~*mN)4Bq#%J`Z>0b6DR0_x12y;dB;bsT6k z-D)`@l_dUz_g`$!wJ}v~e1Y`Q;CDkoPVO^Z0>dpX8AYQ5jzEip#z6se|vdwYbx;v7IFA&Hzyar>k>}VXd4TsHbJSLI(-7 zxa4e_)C->ExVY!&ntoJFimKlxl6BCknaEaV67OWOt{2`lzA#iS*d$0^+b|l*Mc{5= z=YqYAcXt7w?n%{VJu#Q{$|S8m34kGeVpI$qNoi@#a`U?piB?c7N@$aWB=TdaPu;bj zADBQE=M93L?`hE{O9OcmX;RT;mvUTJE%x~=Z|1j;+EC6?_R z^EY|yL$NwBVZ-YT4~!l0`31Ao86&pp6`i~CXb+5L4+}E@z5gY~f0Dw-$A^t<(U=G) z$_Vg|ii(O_$*b=LKGw_1ZsYcq2^|@6c5{)(hkLa<-4g0g-Z%-n2?=LA-Po+Ka-Yyc z{V?prR<*F?e8I%aM_X5S{PkCCby-g*;n(rl2*flD;~#~mWZ6R(v@<;8{+vOjU1i9bT+qjlA8~bleZo<#ThV(0e7~QDG+Z4Y?h97MB+R$<4h&#mdv_XL!o{6; z!(@;uR#Gg&(0^>TKF+gXV%l+rRJd%`@Pt`c`}5Ap<@o@vkkYHGDc5cpOqUN}IZ+zN(`NKX*QH46ArsA(k zJVWJ&`wIKyLAAJLCxpGsxIvp$3lFwY!ASPlbcNg5)#lP5%!-`VxX2FJN zMZn#E%Q!!DCxx+M9Xp ztV9ao#zINy0rkE<0xie;z^EW3KPu;G{%r`5`(X%drsJ(T_=C!KUMr^e9SYKc-pQJ! z^MGOGvY~%OFF9=&&hB3|xE;AY{H)Uf*J%Far2Gff5iHho1)NAQA7uR=^OW5O3RrHJ zCi#_rbK!b-SQF?-PMj@=_(hTnQGStR_{AAn{%@}T6hJBxOEVxya;vtQ19%TITux8a zQ;BUcim_HR%q=WRO(#yq9oEgtty+(8R5ch0m}npVB3?Giz##u~75S{-rlPLyxLQ15 z9)|gQK!$Uzkt6Vc3Usg{l%8n?eUkgd#~#%d*wNf#kh;Q5rKTP|d;qcGt{|MQjDr3^Te3Sq?`w9oe zg1)qbLP}a1B?W~Wa;EcNHlSk@?Eoa#u$vA0*G$`=?9bb*jYdX9G@Q+}sAAD@#Mkc$ zY2^u1{{zon?gL3e!gL6k)&5$D&8@9f-#v)S5^#{wj`@IC(zUt5o!&s>j{0c=9@`wJ&TZl_*RP^-CnM!s5m${ zXpiNxK&ld6Vu?6OvXAHf zs}-G-G+b;%aF>ZO<7*8C|8OEwV5y$Di#X=6-I(Or8{J;3Tz~T92@WyaiZ4^mBz`9a zECh;z2k$Z6aH@Y!`GSUL{qSaJ=pN`m{VIAtR!3}rC07we;hsH4eoqK;5kJEF&%eA~ zSy{p5{po6M>F9VAZCX>V-4xu~+Nx$!{w|o+6tnHOep9VdVM&uZ4P4g|=DR0dW?uEi ztWk4|UW}PYJg?JrM)k(xgc}8go6lA**}q=sOq`jS;X7WG0q#9qW_COEnPy^V^5cLX z%L7cBRkrnhH%dXksc|~AMbk&B37`P?M1HIXePp58&A)9LW0c(2N2*339t+ z@(MiIa3gZUv+4H0u>m?ZalB@O%d;b^t2;N>7M)kIM5}p47XEg^`EXU(_d3u1ObA5V zp|X}!9o-|6Gp$0fK{fw{@I;MM?di!O!0DaM2C{k8HcFW|N%sX%nYwP-Z%);zW6}Ov zHk{&icKfi`Qc1@=d|B`J<;xf6Q$Q6B9%3df?jVKFA1X(&BYG*g-)>r+@l~ z>xsGX^3mbMX&jJ_!}VG|5N?PREOKxk)Ve}A=U_0;X z>Oxu|M1_Rjw&`L;#pdUMdqnjberjR^sly7`3TzJ+(+!GRijDQUl7vH(P0MG)_2TS2 zJo?nLpDo!0GM6%We&v_4;IC^6p`t0Y;Ex5x4YV&iF_I*T9g$qk>L{$GKhECtW*=bm z3hPz-uh{I4TTN8zR+x@pH$du*$J9s5TBprQn7Ymw?vBE)M@q17J`7YY z^3|-iuRdI>1W`Sf+iq*jX2NOm6N={ZCm_|Z{}vTKTdX)9{oEWX)a%ibJFky?Snl;X z)|E~m^xU{{Lw#h8)M-bKNNAw9SN5JnIQ@531p({+%C)h|hK7dpw6qEf79G8yh?g&4 z0?2>|L%W2cng%)xC!v1?s7M7)hr333)#1vPfpmFQTgQ779ZgMpl+e}O(|A1G&DuQmrIbOB2RqU5UO(^J|)Z1I_*V-h63U;&%u$5W?O~V zzb;qD8;5ISu`Y<*2o|r)r`v;&KV;&#kqQdO&G!)5{-iunBK3WQmdGIUv?d*U=3=Iukqm&dC7f;gisrqsgSi7r72hc2X2Bfy6MI_}ln;!41 zs*2s|NT-~eGHSCi`FUz;D)NDzc@CpgJnu0Qp2Di4nM{?4FweONg0Q>8Px{VJNY4r3 zLqRM@P{Q_FD5YbbDk`$rZa`vq2@hwi$e`z=O5w>)I^SI?Dwpjx_9}`LQ1O5LEyseC z6^i5R>}=Ns%hQu%GN+xO?>MTm@e_r59h=h)i+8Jzwp!GQEB;*wSC*?jIamhObOT2E z^~;ys>fPbCX!dPPr%zlN8lFNwU?TW7zg-KBEd>765!Y5+zY|e=Gz)yZ3Rbp0IV0nH zqY=5oD)%S%y%+0#d!Jk|uqT$5m&5oM4CJ{};Q90K5J1$l$6so| zW0aUSZaeMYK;{y2(DCIRR$k>Ar{;5Q0K<#DnF=c?E+)A{(Oe$$Xd29V}(}>?Qo&i6_Q=+t1MZ4*~&eL5D_~T?HYKTf}k8E>)Ja4oK?B8cz(06mL*~~t7dl~ep+T1+hic-OGUGp-MHk1&aYW|eN#f~WsC@? zw;|+8mKeYH@6*V)vDrsI6(_ER$nZvjayuXIBAv&{z~FC0{Cs8Qv4b-~*WmuqcE|iV z!k(?!miyL}48BW0H>Yb)kJlG1cwW|D%4Lp>iMeUN4?#E)bo+6cxbC-n3t+H_dp^ZR z1G{Tu(aw&q#wwJKy4e$Vc6MU9Y=&2NAu)e^n(t=he-VK6hV#*81K-hi+&zGTAMU@j zULD5&`Kl$*rj2#r73?g{p)_D_qM@M)3k$3Iq7PWbPii#64tC;q@7_&adT=W;G7{L} zL&u-@R$~S<9sG^3(co<}ARf{$UZeE8$q_8#6&j0_&NNX9AZhGsogNI_4&Y{n1q-gO z$r01qS$y<20B%M1_xB-HPQabR1_;@$rFil-9bI-=*-zNX6UTlWEazF|Tmke$*a{5y zs8bLZw#?ef^_oMF!9zZLXry~o2fB!_j}LMOyuAQh%_;nL8vj6-fq?;3(TEoO>(}ei z@UgeNyZKMA$^Wecc44^JVZHkCL`wZ^r8%T4}v{ zuu|A@axhRkr`qX(-UYyV0U|rjekl_^E7}Nur!Oz1XUUOp=aOv9`pt8%YYHbvLJ66B z^sHf@P)B1B&^j$5uDcbLO|+P$^&ARbi2gjS2}u73b|;@P$hbkbQO9Cn249=YF>E~` z3mHTVzhcYnBLjY;k)4|x_t0$0>&k6dFubQn+t0sxY+~xaTh6UCfm?@7oJ>JMp~0Ux zy<<^UTkk@O?fX8?X5HSxegE~G(($CtF@SF45W)M~^AZ#0o8p&VIJ-;x=o1e@9$&>qo@7%tnF*`!BoEyhgBXmV41 z+7^$$``o$1$K%~pC?ZTYmB6TtA?P|QFRT7{OHMFTId%ZhbJ`n&!b0_IxSFl71LpXM zuLY{(Q}L(+IY+y%Q;Ftxix=0)yXW8YvC8jVe3D8+QGghonB9tv`IwL)yGfer^`sn-O;1>uXHi|%%C36dbEEz(cHoL&0Q+FlK{bK2TGL!%%C{6_0`e|(uc1nJz*b*b-%vA@Ck515ib@yNHkPvUG%Kwc2CN^BJH+{!GRJCVvTky__}YRm z|9h#PYpfHXu3=mve7#vmkf&1Uk?mL{Q~RYA-Is`mr=g&-)r5wQA+UM(i=%|5aN3cC zgpy*jYV(uI7V(Ae`&Ey#f05a3h{&Yr0Mr3v~LC9)3paU)E z{aFaBcDmsnFlVf|^=7{4PI$;p{?)}fG{m;5VC0gt>C@=$hsKF*lRHPnG)IdC;Av4Y zPoq^6B{Y?w6^+Du-5kxEo1PLq20Jb!o(e$uK;y=d>6-84=j}Xwo5psNxJ+8MclH4u zIO(lVh)5=?t8W=BxaO+wkdx`%7A&9-|46>|VwtK;YRK^a&Uh3!h z5eZs>XS&HBKT57-f%To}@ir%#jUrSlS+vs_V0}N|j3-=l-@#$CV$;zJMuIB1h3X)kI-)mh{)IR3Rs(ko1 zx*fkz%cZ~B>MS|5Q=_2O$thw_iM<_)r|Z|M*2?wx=4%@nv(EaR`*e*H<6C5LNAI(e zjiKBar2AvHv9VDuSp+uTq19asGRHvk9atr;YK=k)%L93}Tg`M6u!p&vY{&avXISdZ z4B2V6;(Xco@c#7gb4H zD#fTw?{rS`#fZA&C7i#}rT;-Z8(>}9 z;2kVBjdhx=NE1SJEf)|sFu{k;zh z1+V!`Bj_+pl`93TM*U^%4nWr)1NDD3_y;mvcS~ziiJTJFo!3?9_eCY&G`4R&q~zJ? z`k*V;_-cu&8}IS-P^4t@oX>uJ@aRIQ+pc7rz>61Cfc=n6D>!(|<#f*_RDL8~>)qsO z)A7XVNkj_+fAs^-Vi`I2hRsKr%q~Ljdi}wuU3N5MzIJ~{iu735yvyr|yw|`j*uD?n z?$~qSDCY~$y&%dTSLub#0|;`IK1$K25cY4{Up!`OEt*mFeCiV|!qWPHG zZ~5k6`|7yu(?qN1RlPrW1}f}Ino^pXhF4GvU(}uXSLUV)Fq@rvuVv_2sMG=Wah!|P zGv^1f27vpbX{X7@SB8L5!S?Cj*mch7DPzS#5=6otq@T2oc0lRtRol{<>DRgsNQLFP!CK-9 zo1nY?QVisrY1jf5l&=hA={sG=?jC97x5KQCxgOTga4vR_@i-}+?8QaWWR3gFSusV% zLi^d4dmcQQ7mvSvMKzOIWtF02kj&=Cbv{J3pkElnIS9oYTGtoZhKRBmYk11*-`yHg}HSqkFqi1Fd0hZhNY ztybhdQ}SZ)Xv=^3VWB9iy4&<+i#(KDEm-FE)y0LOw>I3;7N*=Y@}Ueo;=FH@Y&nBs zQ^muQ-Lf7Kllo`yGS!Y#%+|lf4LP`JYj7#edmuDj)0aL45ou`=E6-CNKN)uXkqg9e#-1m)~4aWu9nX>C$lWso3aM zkm)kI!RLI0gnibWwA8EI`gh27M8!$77TGI`?rS+LQA(poOWvq{xGyzP*xcKm+LDle za1^(xLxU!jJl0Q3r#8oUCkgwWYzDZ%`bq@< zGXzy!#=5NhfK-9M^6q@IQi%M(rtK5X5FR71Q!)`1!K6%Ly!rH}&6wQvC32fi)Hd^8 zW<~M0S^W1#WqE_>Y0ZS>`J0);zFRmP0*b)Ex%m@NJ#5-@Val2u^D!2-b(!@l!#$aV zQs>hG@(UfQhb9n-Vm(j8*mg;anhP&CTLf^kZ*ZndQSu30x;Bcv<&`XkRo~wIFe86_ zV%w)HpD?bmCC)u9?Rn@;$!XpzT@_^1_{Z<_)b2atq&`>*oz}T)qu85PW^&l|m<*ql zq~2!oZPZGqwp{6Evhz^y?@2jsiI2rE&J1@_w zKEN-qxW@6r){2*G?Ukt0z-8W9rX(r|Zz=2jjl(SHPs>yj?Jf-v^v%4730c zdb(iSQT?1vtRJL(=((dwTM?uGZBJ_F#E%*SL$g|=KQuOMMsn5U@o?H=@{IJTTfwyw z8|(2BV`A(`aF&71q)o{`Ft!@@Q`RAs94>n!NwFd)1=H}u)Kiq?1uy=F;4NL0cph78 zlNwPq@eJ?Y)WX@Qz2b?Hf#6J zSa-{@HHMhm3721E7Vq(>sdYH7(HaAX0v)T!)gwVOOdV}ejC!CVd!S2LgqE+SoHMZ>b;2!Jht*Y*Cgb#uK$~vHajfAAyu#Yx( z>&?mvgT8|C>vnWY7H}*JFi3v5_l9`}l$kQjVL4r0%GDz%0(6_+%H2wLI>2uqLUaa! zC&8&H_%4#IIy*Z9{be^E{zf;6M@LIb#BICLohqT6t6mOC8PHLHvSm!q&t5y3BDaX% zzLqj17VU0a(`}!r?Fa>QwFt?4WOLB$jAjf=ryZ;f|TcQ{9^#>+ya`3R8Y4?4~&Vaf^-4I zn39r`7o=;Tw862lv4Mf0o8u0rf=P>SUkO){%g^tgRp%5P0n~v13O-y<`QuamTJEHz zD3gW^J-B-h7Q@~QkkgBR4YQ9~Dj-E#w}8>nQIId#pi*c(Fqr?cy#E4H3|Shr=m6W- z;!fvD{-(Ii&c^%a-{Ky;lx+TYf4`q39gr%a^NxZ(jS$19}JGG6TfJlF~3W-qR6 z6g$vLAQKXjkVtV+A?2Sl31!21(^rdLtH!Y}ONm7^MQamulUmz%G^^v)hfqzAVmC#C z=LOHYIs&a$IzRNG8@Gf;K@4V*Ug^sofRc1!0n4dNcB0POXgL%Oeh3q22vtt))t**o zuu%V_G4fqF(mDusLqFflOU7{L@UXxQm{&H+Y22MRJev6)saeTzZwi6zL%^tR3sqh- z$mdRepcqG0lJT1)HqkFrluwlfPG+C%p%;}(sI0}keVPR7tl+n zBqfDye2paw^vv_IMIdt~T`hWyPeRhBgTFeI=Y`|Ib&*I3%<0%v4m%6{?h9|vcbxL_ zDmp@N><|G67R%cNX=X;1%)=4GAU3amLZeAadC$>Y@XS<9f-D1afxqq`r6YzTBwOGD zcI0B}7>FG^FMYEW2{%bDL0KN*Vg3Cb0i#;U3M?130_~Q+qON1L*3{y)^+-Yk<#@WdNKU9s7Mvt%yZtq1jHi|~K zl|TXnJ=p~6VxZ2<;B$&hP?wI|8;OY22j7)Is#&ncHLr9d3!C~dku2x@{ryQ;^kt=` zaR!#UK~f*7v{?tE7pCo(w-?oo&cT|agxP?+oiz(~K=rZ>4`P#_Ga)jz?@U%kN&`Gv zVdiJBAip5%wf2jtXHq_jq%pK2u~7PZdza5e8O4FWG`H^!+}L}MR%j_j^6wO}kg|U8 z>Y=p0m*0jZ8V?>2yY0E(e0mC>WCoG~DX-&C@FCaecO}6(Dc0+VtNNn-SN#~OWMv6S z|JgNv)>2($r-c~{3zcV8ln$KQu=BH4u_wd zwKQK2n|#0Y8}XP_EK(8)`9|%Md$fjjQS)1bl~3@4A>P#!(8l^$<(?ZBV;L;RGeIestq zK{e96{qhrB5UQ>Y;g&&oZ#V-weq?c)a98AsA| zEtx~X3P{JJQ7E;vrlEGQ(`;!b%o&vD81COUgTgyhSt%Lj=jS_Ox%ToKNT(UNBki=x z(V(Y(uca2u1P~%`M!<)h+JNp<48>fibU}2U^|RN?RsZN$1FHxZ1td)Ba858 z@MT%x1;EtX?~@dsrXSy#EcaFfV_3~e`b*$xOk>ET&L0T|en;Q^R^-Th`LcwZpsoN2^&n^5 zQ4>B{pw7oXeuk6^4L*=Q-X_d$$- z-*O^DE_rHt8p=4^5L-y0zv`UoMgE>*1n6Las9v*faeu*=u4hXaBQ3ZT^O3wMo3nP4A^cakdE+LrYhhRwxZ zqt_fbZ%^A^jFaCuVC^eguBsahZ6m`}Sv^a~iTG71(mN828NUeu_!z(=duV5 zWr4zE!}h_Ui1OVqIB}zh7mnI+9S;o)LtDMxY2F=1i6Z|XsNHfmItpbNIq=SioWnRF z#93#DM}fr$$7N>31>?qcboYDW1%0Tf;Dnv!0ene_Yyg7>qtCL|_0W=|`^@U$&8opfXzif5eY-q?n9~ou z5XjCLaD1xwV^_H&&+%xz8TQM{q;L|yimFAP#&Iw(k9Za z1YXJWa**qV1AYivy>sg`oc-Oc?2+O1!Di0w%%KPEHkWSTv!aM}b#^||DtV}fdgeQP zEC1j)HNTMh+*!*@u^ltr8T!grN6Rfb6ZnyG5=f@Zx${U=E>|r9e*Z+A}<)v+)0FsQY{O*JQ@6U#(*G?Z4XG01M1L zPsQc__aj?6$%bKn18D*<=y!0;vFf%W87I~4+o*?_$Rj}B8Y=$ja5D2IhtqlT^3rSh zwLG99Pgq#k(vk%^Fi0Itw6sg!RB@mFY*YMij&K34x0f2Bd9aWi@K^ueug*+O^kV~b zL?Q~z9yQlA4Cbtn@y{;I|Lv-yhnzfQ52qV)^8VhqiTsoQIhjkqM62w!O{z9UR_Oep zrOHdZa@YH>lXbcY8UB~^UBFxdmUuARnn7&-)r9!#SR(#WXBz5Xj#1kCh2YaZ>xOI; z5tee5dp7=0WAC?Fb0mX-#PQr~x)jMGz~4t$ymgB3rYk>--g`pU^?$a_0z*=S^pl*M z`~HOD_n(2k?-?z0n<4!Nw0|u|@Cd^pH2-lqhCH?c+B0!9#}2lgE+~xo{_g5y{kPSa z_&-+Tml?TLAXUwDc^|W~%sFHK8aS*(%LD+k6R?{?(=fiU{q4m(2VHlMZUD%M#zI#R z0+$L3ouNWktX1pG4l+Nmdz#0Ug@t1#GE!3h0RidQUW0^ZugdLO_zArH6oP{Kae8{X zLK|$f9+z&sC>(0%-j-CQV?%ZAga$w(78a!Qqb~m)0Gyr)FsgJ-fUjzHX6D(i#=Re~ z^_xv`;zc+T+__(C1{4+9(Kj3z`y&^)BWzttaW#Ud^W_%v<|t>ac_^(>tl416!Mff8 zy)%zc4WZf33!43)H!y&dXV_`I6MTD;^oX49`zweo72)!_pt#|t~#QT*R{bbR&1 zO-C{WFCy4i{^@3BnA-Co&#^>8rw+b&ERt0qZ6_lWC%Yh3EYZ@ImiS~Lxm)jb)rmZ5 zG@;bkNN7dhE~@%%YF75@!CeKP>)*BrI2Y@}s3Q2A{&@bi3{lTwxzGs@0&E$7_4Z|T zmSc5;8^si0E3#YfhMz8=Jz{o~l)Wo^o#bbS`Xq+OE>?QAJY%t#Yvs4L7W_Y+JGAz? zuKbD7R+cy;YtWK~L>U61>%KDDC64)kUaUzlxonW4&f;Ug9NWZsTtxl5mC<}!W2^`C zLp=&^F@vN+0;-zb6}@84=#z+N06nIThKhM{`^P0brAs>!w znRAm@H+)kkA9xjx+G%2|9A!fg`WHVrsb#DBb@0@7h6eKBDfh#YaKpbJ2yoW=bCcJx zEHPIk(eh@jVH32JUA^1JOhCz&;>*pD97P^J<+1Zw{W>+*=Jn<=nMl zsN2@y4~_v3?z5gWsfwku?h9~x%la=B*}LeYF=Zx;6u(N-Ar{El46gVjV)W`4>o<3NShcOqNKQSaivAi(VcR4l91 z8QiMymaEs40#UeQm^U|XGa&2bD;t4hC7a!!R7fwdZ$;XKw>OK5&a>wxCVseTb=F>} zG$U6CXA_kTb_QnZ2;m?qU=HPy8>;;8`hICN4iUFdNU3>n$QcUz8T&B;rLo!*?TYczXAf#tr`jL8GNBNWy7|V4T z+sbpLghARnj1j9y)xvjn8MW`HI(s8AZd0(tkTCXaw@QcO6B0&glzR`g=W!IcselJP z6f3_cM|6Js!@#`gExDVQUPVQxwT?fmR*!kvL`!c%B&$55LM=578#bz-_1e9Qkul<9 zb}HBEMlEYTwd7`^uL~dpm^~l4c0PYXugMgF^~Z zUVo@h%$@S%Oyp*2dLc$6=3;JMa2z}4x6O|5yEs&yESfyI&to_B)ULc_pqf>?yS#&R zg{@|+Ua{px^Rfblti}sn!LNS^$gQ3(@gwfxz{8;McRQB8iNQ&`5E*(`Q*gRoWw9pf3?+hpN3T48HjpD!~8R{9af;->G2*oPa9`TIuD$!Xn{@tg%i&# z@3@XOcbnajM~x5?a(dp0TMGZD--R8O@gwI2@T`uioD13cNG&*} zf?(i){yt!z88`}gGSy%fu)m)UT-W-=?iHex0&XaOe!Am7^H1PDgdeJniM+B_BLDC2 zYXEH?P6e%e^KWA^l>)DP`;zc0o44%m7GV3s*Vh*~$N<_8{OU-j@R#s>P}aI|>B`LX zd7kwf*9%Ndc(%eRJ*00P!A1UGi7IG z;6m7l$kKc^VD#<=?sx(%WIcZLXd%j}eW4Q+7#J2fgI(W$^wa;^#Vp4^g%tb(o;S(h M>FVdQ&MBb@06YlPmjD0& literal 0 HcmV?d00001 diff --git a/法务开发文档/image/设计方案/1701406975702.png b/法务开发文档/image/设计方案/1701406975702.png new file mode 100644 index 0000000000000000000000000000000000000000..43fc6d3e1bdc614660894a5d40047e095def5e26 GIT binary patch literal 19065 zcmb8X1yohv+BdpIw+IL*s0avHG=kC{Dj*=;Eg;<~4T6e*lyoEANOw!8gmg2aX-dzY!LQdbIm!Q_{Ch_QWC=0m_(Qe1Oi*+*;5$=;=B|BaSr|pL^5aRSc(xAu%0jNzg37|GIJfnP zp3e1|uAlmRTX8+(+vVluoVxPz^4qd`L%xY0eSCaU#iOkRM&>)hRZC3TkVvD<$8uS^Jr*g z3H;*nsJ}-`y!+ngHqAMBH@tYHUqF|KIY797yEAhhUe~Rj?W2CKx`oG!dS&o>g9|Tp z9sfUnefaSsUYv=2Q&Uqcr|qmq3%}gT9h#jhp^ooGd7h?_Cd%MSXQWM}Z(qNDo!d~% z!NEaSH>L4ab!b@F;r>3m^N^~5!0XytK7woM8JPcZgQx*teJXe*ls|MhY3PSTJAKRb zwt<1vRGO#c|N5PK`UUtCn3@kOsI^Bhy!*c!`~Pw0X|-1Kn3AJ1($doM@`KsRO!Tw~ zqEW1-E9;NC7rSGBjF&V0uft4Wc<^9eM3sh?b~&xFmf2=W>M&#IhoH2GvT|hOQ1jQ{ zl6jR%2J1c11*I{Hxied4(AAZcmKGOVP)jW{l8d^V|6Fe={7{Yi7euLw zlds>>BW0VkpDQx#FGG-0kdx0>uGP$Wc!-757aM$S&A}5pY1#vgMLxqs5w+L? z_|hbNu4>OCXc-vN(w&{1%d+|BY5RPAeYtE`z95k_n&bZI?`7oc>+8ASe$&8~#u)Vz zB|2A-l%4&d23Jk$TfR<9j%umZIqtTV!7TIg`5W|9wA9p}8ZXgPaXIbMNm9$ohPK>YWsp`U^-mEnXjJWv8kZ-y*F40@lHsfKPhTM#ocevb2R9hKsIn0?w_jIRcd)g{ zx83_B@si3wwbQE=)_eEvm4DdyWb|IN*G%r&epO5=ehs~P#fMuQAF&dYe|*c~a^AO? z6WOPbh@tXH5G3KW;cw8#PsA%CzAmxs$RQrzw>nshP7=7yZ`jAj`zs$sUD5h-r zTMn^qsT#txV&)yOh3F8)Q*r|~8g!0(s4Zqdf_igKe4op5N_b*YQu$>fIc4RMB174j zK4eaBJN1MgFpgd99!nRSO*pprlc*_7 zf3bV}rUw;jdx`$I7-RXh=en>=xOTXprV6^y3}bj8%v)C2_1g$o$N1S%*gl^OO^&<_Pf*$pPYRUeT3 zvExWjHP>DzyRn%3;oHW>#*9Y+h4?Mzn~AA3MOn?wuE7>Al|~8Q%zmeG_xh-GHLk9< zQ+O$Ecv7slWPJE$sZg0{`}31Rx2&CaS-lQ?$n!4Fr{Ydhve!rDbsFQ-(S}NC;|hMz zBz`=V@2ycGs~#H*6_*RRMQ0FENOWF$P_#+&?M3N8q72FQS;!0nA_^Zh$jZy#izT=S zM&H{hvGeUaw#?X1CJxEMugQK|&HGgV^S;Zv|2Jvb0B;$r!o=S4m;FDpI>yjETbkbu z7GD)JOys4G7VA~gQXG25F}x*m^5kXa30CQfMY>2=HZOXL3~lkxY#*7H48u}o5xZ02 zjE?JJ7Z()Cal`cc_;i0Lc2>Ol@uIH(nr>BeuGl5q`C0jT`c0#9&Ka!O5WK5osq4}r znu@dGTxm498mpgfOr>)OshdPJUUfTRbrF)vJR%a)340 zhyVjqEoA*|v%D@vgiCx@CZ_DYyE0h)iC;cN4_o6H5(a&ItR74zGvrY5wkss9Pm|PRmT(& zcHs(tIe5ZNLZ+X5nGC=E#K_>oc_R1W7(*1x=%=p0pNQ*@PvLb1f+JxuHc*b~NhU1A&_Lp9E>q~cHq&}&& z5-I$ar|GuTdxzfLB{fNlD+h~6$+JX>LzyE^F`=VFJiHxAXjl>Q7`=@rMKiKFzh$eS z%RkV2p1)wn|MSvtuDWc4r#J}#xy&Hf&_&zX;9}RLE>72#+#iRpo@i6aO;RB<#Jc?Z zbjz+Z=(IID>Bv%u9aUx*UsT^2l-*UIpvN<@o?&sm^C%Ky*-+TPJT&y$l^ii~e+fIznMyQNG+Kq7dGn|#g~Njyc;IX&>L*i4me6|$z{pqxEN z!uYavy52Kr7h^#Y*?NywFOBK3?KqlxfzHKfqOzvG8u5ykei`Bok9A5?2#t2%8y(vn zKXd#tJ#D8})F4ctN2woc9btKwNu7Xjv@|tVmDFdfYWwX7r$M;a-cvN`Mm8D_T@%M` zx?2PeVToO`DOr1ABH|SWTYYmGZ7kyIBZF&q>u-eLcOLY_*V;%t3Zy?uuW4=UMpA`z zcs0pT=(mq_NgNE3&gkf+5r3zZ$oBG>_f#+ZDQ=UoDOKnl62?*Ew`8^OD>;!`r@?3^ z>duT%Y^!mOh`yh3?u)=f3RyhbSoD0$oe%7>>RXx-yXbv`G?ZZ}x@tEB#aF)NjJ?6Y z=RNq2pX}mMM#Inim7`Dj;qsD#%n*}i??a;8rl_^y_s5kFG=N>sn8dJ8=Yw;LXCPzZHHs_KtSGa#qauy@fa@m}i<=UOL)0+4j62AS7U&h_7s=vD^|~ITSQ39&D)80g zG_0DDX506l8Z$D7FBcxUArG8$ml*}HSlFDu3#Uf@YQOB4a-N{+SBrW^isFu*t(k3M~_w#m;e6nfPvJJ=?+(}rNV}tI%Sm;$UkFM?88@0OnQn!fIa&8CB2#`UaDyD8(HgNFaORtSW9-Jw1{=K5*F$jT@jm2c&r7zy7glB>PR7F z`1M}&)pc2l(9lqvsqVxAn&7^^zFto>F;8Pi{S`@1LEn|tc7MkbJFW-2 zKSv6E2^iwoE$=dv5m~j^I_0df?7fDhWTa>qG&m8vM9D-ZiY5D#xa--(3n|g5N8yTt zmwh7kDh9Cw9G}Q4QU`Ia)DteamA=!SWA=?aE-_X)D%R4gH5+L?puJL~SzF|_O2$1lVpP%s;c51ZLw5-jXxHu!K_O@;QJM`E1GSw zz=PPlYGY?eQ&D2SQ)yS>OI78g_^{e$vGXY`rp|5GE6z*TIwxIxME*pNd(_nZ+mZXjU~TsVtgDT9cgyIK?lbt~m9_yB->z9PVu53FU+t zMKI}i!`Sj)w&CSaIJ3Tb!>X^a)=aVJy~{unsl;qQR-1x-cP8mpy75phcSpN?5pDF6 zxwKf)U|Duu1x*y|>VPs)2L?YWw^)T?~5WEw>rEDSUcpQw~u6u3=}y03_9C0Q5dGX!qAzrf)iWmgbZwjKEN z1pN;v%y#6nU+yE{aCM}oZT&YUHXSdsoUC#{B8fQ6O-qc!{K>voN6(Yiz8g6{{CSs$ zgxpzBP>{>+=X3!YWeIHtRv`)n1aP)K7TaPPref z{DG=pjG|<-9x;t|WglwkrJ4)pEEuI(I&ue%lkqx7bd)eZtt?22%bAeyT?Gs~@2p*% zb^n!(f~H#`Z+#V8aH^@Dc=NRbfi&)x4+C^*&Qwh*ITfF7q~1?XzW=^f`_!g-g)e8o zmxfhhOEjHnZ?*C#JHg9Dr^Va?yXbJP=fq?6i4w{=lQO!ChCSG9ZzMDJqUJKxmqCW(0AkQ{FJOJ?w0=jtxE zUhF&f!vl#mT5SC-h1cvJkGS}Js1nklrO8-5S@B|uu$NF;N2!&5WSoYU!@Q1~>@6x}wzRDpJPP4cZYcrCrse!AmQbn({ zGw6vEp;G*Yto1G?%WUKE<>lsVW>0#C*i);n;ZNq%s{@V1p7UnC&4ND2V-0mnq+M5> zpsVxiRi}kI>)saO_^ce^)d872_4`VeA`O%id!oYTHyVF_vW#rrPsxbxB70@hG!;lk z@;hVAGkfhY$g93tsCOpmQT>8I;~>uI-jZN#L}=1_1=jEN*8GZXH4Pd~S(7CEQhSBW z=b|rduU@s;|M;TFfm_|0e(t$MYOc9bV6dY;o3~$R_oTgYCZ)7PUg`5u(=o>!-!9`t z@A(5Riwus$Ev?!3MC1%|P8wYxp{3T@i9+cMctRQ^ti{?WUP-iS;N zhl>u|{)go0Wv9+DiZsiN$eA5(2&$Rs zSz#=YIBsrI`92VQFZ*Pl$2c`V=EKZ2vw~}80d0ozZ57JeC4O41RXUXt`$F$V%5_r>fIS5{k;tT{+*tCZ`%p3~DIO+_ylSjVnXf2qD{nW6km*L7ilW~eAf@41R& zc2u`bp!wWux>=I4Ss(sFHnWl2@nbW2ADNAwExCobN{_1P$bRHtm5pamM|&^8D|ydv zP$XQjy^~?I(0MoRg$v<{JoFJ!z7orLr*+9BchYzTqr;H(|x}e9qF%b5|}4Y;yTt+Hh@bdcM z@FBL+yP-E7BK#W7m4q((e$q?#Smrt-nKVLIf_~mF56Y@>R&q_7ilNe6{vJY~A~hQ9 z-NPdr(^G(~jvixH^G)GNbf%0 zC)${jow>H!EX)!xJ=Px_s(KGC+cTB?MTTyD7n@_IZBa5Qh>~{CPL}E?F1;1l(vbCA z*x||qoqC|P?nZb@2ev8qO|0=}q*;Z7C84=9!O9B`c!SVb3z|G2r(sZ#x9k^+6(K86 z;=&t{sTd!QiTyEqo#15uo54gxsoVFD{pn?QcfR!1RJ0k^TD0Nx)EYM8bWIf1D<+H? z8miQs%&ti3B)B$5n~gqH%*xEFHGHy?WHjObLV!28$;cK*d(B|+mG{2wylpaX%YsaQ zuA=r9=bEi-X3W$nIYM=^h*f$Qhs#h$}OL7%8n%a1VEG0d26)BHn)pnnlic2w3>zAy--PN&I(41}1(bAhK(x?l{ z2?z*a-JnaKs zYPn=AtC=ELm0yS{%M(7(o|kNj4`x(pX=(AoYL8;J?0xY*Dzi72i1YTrt}_rfWA=vU5eBgGx^9O2i;?dSZ;#DD{X3zesR&$mf0+SEK3ajAF>L~n0z?BxIH~2GL zsJ(j=ueQ#n3VUeu{Q2{XJ@EmRHY_<$yl@6gg@i;ImIzRHGzuJemBUtr?T_a9!%uF# z=NT}!57V7pTweVgu16w)yagH?WEcyE`_2guh(!0hV>q5@(t`>y9nE6A@KE63WN!+3 ztqzn{kQu0%vJ{fu9nTjZ8p@*Ad6S;j!cy)_`4|l^ug0}d_I&jj^$HD~wLat?UM$gM z3YN;J&{xZjE^?r53~4w$NO4h9a5p7S_lDwp2{kvO`rR=jFg%c+G+lP&(~37b2-&f9f?GIuE+i)Trd}c`L;j4fxac`>FnpM8kz1bs;Q#V z9$X@)pwK7j<<>Se^?P^Be9?pPaH=Xp)<8<)LuNw4bXH!?tOuHr!}j7W*WR~p-@3ZG z{(EQ@dy`Iug@t+L3q)KKge9S%ca;iXzjXy!{xMiGn72j zs#0RYq2GOr^Wj24N^@0CXNGq>N1Ba}wl>xIl!&tI>4vvhfK+BY&_q{A6a=Ha6BD7C z2cMusViPjHp;)>UP$M9^NcTDC!c+1x9-8POYHd}}ppl32-FO(V$iY_moA65WiYn*iO zLFxErGqJhFC!!J%yOQIi=jZ3W>4W+bSWQRAEgG?z9~_Esc20-Vv5cC^`$nbT`u+jY zd*#a$^e@tMpUipVco9+4fI6Fgg-#(9O`)aVE(8tC_*-@)kJD}!+thRF>EV1G!-WFf z4%eeqHirjgq9F8*yC1S_8QW5CsTRD%(0#3TaUDdXgx6B&5s{JQW)l@29%zvFd5vH7YGLSC#eF2eqj=ze;R)w#NibaQ5PQX^Lf&J>p) zLwWI!bS{R^WI_(NC5v0ci1djH+w~F#b$UBzCj|9r>Cc9(SXu? z1^T6>8%hC*etP1yNk{v4H(e)p2AHc}Y4;#pcUS?maY`XjLlcXNiU74)DxWI}O`xF3 z{6bo-(Iacek^3w)m6b*Q4oVG=^7m0F)V%XIssxWHN2M+QY)KH>;|N3L2oPmhE@GCk zmBvpf|rRAsJR~s#i3COG@v68MyScV zh~C)!_6=@b?8651Q4iE?-gB0Kb*$?OTz~xzwo3Tl&m6}P&QEv%bI#Js#z_LJ@Tvha z>kZWMEfL3Joz1@>2HCGZBtc#M=YG%&Ehue_5+2-~IX_fKt)AAuxUjI9{6JgryG5m< zoE#*&5Uh53&6;sg>gcIJHUkGiXu@*(;r8;@sBzv~FE1!p|HLDtZN(gw(4e4tT|!hu ze({#BKG_{xtKOSDTC45RMGh-?M5QP5844>aD;pY8|G})!fg;)csT?QsSCWV2LCKn+ zldF{=$G~^sF_tv?S*uZ;ZQ{#YqkophWTarstkMsw9TEzQ^h^l7JJ;745*OTZ#Po)R zD1q8Vmn3VrI|QN_X2_VGdkDk!-xFAAbB-AkA|)FB0=xbaS=mLLcc{(j&NWknG!WZb z=n7hYjg??-^Jig_lzMNFk;QLrS~06woMUEMe0;Vr(hb6Vk6O{OvHz~czZU23wJn%n zX78ZZ0yeu1Dsy1O5*c7YkPzOw%oSdfocxB8Si{oNGEE}3Z2Hk3r$eap!O;<@+&^%6 z_iu+2-{chl3xxQT+i#b($!fR#8FW5AzPPuh@&xZiQ&=EXsT8k#Zwpr2y*YYvVdp=r z5xQ^_<=F{EMIksx&>}t5P?D13uVnkrHAF*0Q^?aGxq7v}F#ClnSVAnEP~~uWvYLzB z84|{v@~{HqQ^A;<*L9tMkLzh`n<+pup%oC9lk?_rtd2|jX{8qQT-@}pvxJ%CdX8T0 zV-s2k3A2DqLEjt9g^7tCe@e@H{G`N8_$o_);FXdm9z-I{-u#f`f8vZ1qc7+_>elc8*N=EoX`~0e3#NX=b>Ly<5 z<^Ma?5L=_zY{uU%-*fKm4P58=6AG8e4EzHEa8YS$+wV_6!R}aq4=1R*6pxAqggYmy zeM9{PYK9R4~1U(UV2j*ES zkbJ+A8f~Uh@Urb*0C$6~2`ypbU5O7XtEE#KMFwAgpRWD3`jb^MDMIa}nTzlUP|c{6 zDR;{AKYkmJ)TFz+LtjcD;-)dAztU&IVq%>YYH&$#Gt;QF`4<#09%@?)D2s@Ax5}^n zhTj-CaaHB&8wS`|AePB@sH7BT7nQQRScs!J7TAC09f4RuV33s|{t3HJvgf-X+tItrR-n?_pI^J*INJap z{$quf#Y9Ez3udkp5WHF$@WvuNFP-6)J|Igtm{U3l?bvt=ncF`lL$nRzLbb>s-)dfz zUPpBhnJ7ce!oosN|I3Tab-JLX_ZMY)UV8eph$^&Pw6wI*Z06m%NL0<}97^`~+-QJ0 z?&jw9p8-QPCcX){$p9_TAk1oPrql#_6!=zi3~^>vG7wUU&Z7xpQY6D)o$Ntt-!J z=-ljVvp)%K-X$qbW$yv%Zvrx`zwffYK~48h!=c;>K;&4MnBa05P7w=Vt2v@)U3pyWlJ=+h0e$zj62)v0~EahHGl)a7hinCJLsuyn{qbM?-_z=+t|= zAb8ucc4@V_Gn2-bsXSy$n&3bxip<~V$JsVF2}s2eJ7;TMb;DgalHF1pyg5xa4h{#_ zJv@}D=VB;*t$17vvYmZ}o>TP{O%n?$YwCS|&G|K%E)Lxvwb{{Ov$GQV^|cl2bwyzl zF{ew$^J-JLTR%JRq}4KCX-P7Xc$Mghh~{IHn-Xi8XC}3QD0a))y4U9cFbOW8Pd~pC z(ljEvlYGD`bd;=LX2LW*sUC`Q2XY%?nK~Y3yxM;8{(m(G7U9VQxNj2o2leXY^0Id7 z$M*w|zy65juzqKkyMN&-Di4wHI5N}I=K{0Y!+T`H&yVP;F9BcR08?hPSw&k*i!g5% zF~wArvEpMr`IwLkaXe1njt3dOtz$4A2HS;z?h_Vfg-Tc$O<$0@+ZpR2j4XfKDBsM7 zEDbZ%>izeGGVV1}K7+Kkn{H)oP3F3D#y*{tl}osU$bj_`{dj;a_bDj!zz}(~w}y*@lN#B>z4cr| zLIM_b4aADFGWL85ggpf$J^Fjt6C>J|I74_E*D!t&5EFA*OxH=oa!Nb0xg6TW(SnC; z3>6s=K>!dYtDV+H3cUyr?p~1drY|acJG$H@y^V}B1M8H_;r7U9ttX z(FqC*%hIzO^d@{w66QPE?guj$Is>9iCI6FiRAcIAdkyS?C-6B|;1`&)ZJxP-OZY6D z^sW&`PdM6Mxy4LF2(>J!^Ljm41><>LMqfN!M?(T5GtnMO`)Y0S1OVSfBvRU=6$_E; zGZ)R8;E##|6_gQ!({;l9QG@3U+@@M90K9uNDt&q6vf8wKE^C zDG|lIoZmv~^gT!zxQ0e7#0tM-dcjGDax&$5ThGgLJE#v;u#-`8ek!UU`qVjsG|%$_ z?$%rYpFc61+426y@BJ1&i8yW!?Jt)!qzJsdy8+L$%Fx-D}sA!wrwagQ40}TWEiOTg@uiR&1V!C_R1FIdr>@jbl)|BS- zT~Q|Uc|7*@htS`>nsm^I+p)H?igQ>XjQer__3PJw$($BrUx9^0(&0Po2#0g->b1w4 zSR_ss0?LAdNXggt{yRL%PBI!PNSXXtW(8=aIgYPK=c<)I)|7GffX-1t4ydYlHuFhP z0UznMUu)mZt^CEQ(OYM?HYsjMcCrJZ3#!=0xY7Ov)(B#6->Pl#EZcjT(1)}Z&4Gj$=6Vuk_Cb%^5 zdlu1RIII_fgh@ZWH110l(R4kS2NK8rwK1VzbCPht4FUpmBr-=S-(wMt{|RajO4um9 z3&By-IoO;Jd2zB=`}#GBh2Mumwf4C!OVG~&XT)kU{2A&6Ts?SGS_9!nd?=KL$_NtdrsuHr0XINW zQLeOGg+C~S3)I!|YfL+kLK^8$lay^>)9Z{_?oX@SbkIWhU4TKdHA>U5PsF>Oa@ej6 zNGA!sefMtR`*-f$VV$Zv&tlUtJK%jGC(j6zxm*Kpr*6j$xF+5|ogTo|7&F*qrIU_7 zg6;|1t&SEO4Q2u(vyS%QCKP120dYbjIk}Mf7wq8tWpEb)xO4_YnQk?C6dr@(7QlPB zlq?cV@jSu;{HU;~TWC2VkP*APd0+if%hRaVfGr+}<#+i|2nEH??z6i9h~$KX1YqBw zE_E6(Z>n_>v0F?7#s^7W50aAA_g0`a*3k@Zp)kTBtzzza@&iCjMQldUV1!?nA_x3$ zyMI%kQ|*5kw0mvjp1iy4F#Q@m&Sh6QPWKNc?MkO2bewrhzZ|3MF8`>(XXbxq5_toICCGiPx~gYHT!b)rTm|%z zrIvF-ar1+&Cp$xwarPd&ZnCM*54L*v9C8_pXcH&W!A{X%#03E<-=2Ri7uI8Hm zi|$x40+0%NK*+Z9{)`voD6_GW2Mh+cPIpUdUADT|08GJFFtD+)0f#UHV$CC(6@?}c z?yNC9PIjY3h8I1xpmYGqJQ(pv$6jD=Yu1-RPfu@QaZ&bHCG`DqSd+;Ah#?vjTO2Ze z4D9L1$cTuD2zTjKENtu(V96kR@j;ZpZg&BH28NNH6@F~LMWgL9ih;Eo&Q@bf{Wv5G9UI?agN*=!oQnaW z3Vr!A4oj%u?|}W&Y5o9+d z*q2)HWy43htkvickWW2XX zNzM2K@0^ia>3B}tjy#eh^JRAkAOV8mHoUS^weW@6~g1{=Don$gv8?(V7Ir?1*G&1+9~*Vor!_lAXrZlJ9{L$OKx z{0M?NLe!>{1*R=%orM*ID5xr@EKp3Qr>9{%Nk%+;O;9E*y~6JDUC+ruMM3*zruMxq z(Z0eI9?Yz;w;fCw5~{Ue(8?I1)D;Vsv5SZx@3D;qy*pdIau-OyF*sf!CDjWR%Kn^v z-1xYf*CN#?3Dh-%^YYAHU3m!-t`1k&8bd~hZ1x!#6*!iXDMW47=#CSzZT z@Kc=K`9y4G2hHWg^G0~=)QVX_S2ddY5}j>NYX+~UfYDsa=Kce9{mbEN&oF*o{p8#8 zxpn`$P49b?H)lLSu9VdPM97y0!3B}+N9`B#x0nHe6iAn&G!|R>k zu));{%Xxd5(`&2x9Jyh|^qejxFUzV)jMKGLRrEY`(ZU1U zlr`i5(J?L>4=Y44hPjfr{S3Gc27S^XPUY-1E_TMp1krZ_b_dflN<>e6xo-_$(QsT& zkp%xJU~W{QjAAjir?-EH%XhpEfTSGA@AAvt?kGMClKtNIU`ey#&#ybCQxtO52(MiW ziI0zuj68s3w>j?kDcQ&Ro>y`{+bkJT;e-C0WO{aJY_#_@(#A+vTOvP^7Y;mRrtfbJ zrjfQkGa)}GRNWml*!uL;tuf|;`cKBftHN$iRMf+ilnm9ZO0Zt#+2$laHHdl2{+N?wSAoo!R)?Pk*jo&qPIM%cprk zCdRRBTXt7d= zYt*44Vm2I(_@UO;)|(Gs)cIVeodjj+)s)L#n5x+flJqvS1ZNxV#N(hqLY=u3(oKVy zvd;|ng^1QV3OHkp?eb%*1`HJ9V)QG@0wpo^$=@~$B~$p$R09u^O3dh-^l@J7Bzvu= zRTT5R#E|m*du&6XZ9>AQ9Dn3M3-c*v4*KLOgxXR@TAU*+8VD+mT`WWEbbp38lql#6 z4M&=MmO{uD$YGI-9;^T{9H6xU-D!2Aau2E;rFhgAEbb5Haw?(AQ5+8Jt7YsiG!v!9 zLd?3!o-ozfWr4&L}YoSFs=T@`rckVhqa!a z-7Xli>>n9?DFLU^&Pp)=**(Z=&~#QniFbHSNj-W?`RLb>N0m)1JrA2?)Gk}Hy!;3Z zQsvGg`LWD?;pOq%ZuW8KaS72Y2PJqSJHv4ug*?IFM0iL^x%kP8)Db!o%I6VMas$2L zS6S`0btAbQ7hGsVCk!ugFWKd$&2`5dKOp>&qyn=!P@bvMc zC@uPd%6&b@y4Fd%L4^4e)PcOuwkmg{kDRK>Q=?DHm`kj}{KTjFQq=H_N+j~EKn3V0H8GgRYPgj_LK?bG#JHRF4IDPj*)iY$iJ z;A|*K4YlB3oK`Bd1GQ#v5jq6m6PENXEg$vBOKE6G#CkN=cvdK`sGgPEBBun?`On0e z#&Sgz^0a#2w9{z%&6S093m?nM7l%a=7^?jAdM>ynm};1r37v>`)Gc1;eMm4@uU>^V z^VioT^qw7N)=egA|QdW54ZPlRv-FEyZP(i8Nu?5x8XaR8_yGWC6Y+U+8TpoLyq^Pl6 z8bD47WVzLs(1Fb%!#=m%k@z6m{%AEp=i6Ctog^3gQR?3(7ti>1cR0IvH}1;d#$1c= zhnoUiRglOd^&M_3JJ5zmMAAO@>-F|39H==-jEabl$NSVTn5>ujAtj!r$12D*R*7d) zu;N|M@g&X`-~BB4ZK^cMcvnCiOH-oHpFcGw0L^XUOuj}WXaGsH_iN$^)VHRVmMLHs zAtlcv<37R3h~a$d;4btYOqn#IzJnWnfo;?}I*lf^v#hLiw>f$4Fg*|rFI6Wn+5LQN zs_um-x?gFBq;PT|e+plyptNnKg}0Gvd}@UT)GR)Qr; z3y3iRL%JFzI0IkOYNdof)- zJ?Mi<*x2t}fwXl(P4&5F3nymdl$wzmK=TL%RYR3Hc9zL^I`xNi+Z;{7)RVzY0sj zIc4Z~f3KRmIjv-*p)3L#Qj(j&vMYM3``8ti`q$%_11SPl2P=FP@bF~G7o7(iO;`fN zgEX2_H?>;(8jtVFY-X+XDBZ~FPZ35R`0g+`boEB+hhlrJ+-)2F{th>FUFgk#x*Vk$ zgL9$KpxK{uCqSvfz3wW-Mms=t{TeG>1ELB*6wqkjk+}=RMBx+?6MEz;CztCZ?KWxJ z4>o0OF7kEAW(mIL`H)JW$9_8{ITd|*BPpV5Fy?8T?m(0NaFt0f@-OzAbvs>jdxuLF z7aYuFHqNern6`z64Z+ObPU%m^cRC;|DIW1~22wXFecQk0dLueCHsUxL$Ki10E91TG z9Y3G^pnIZun$~jBBs<4Dj?h=R^u+N<#&J6UXac`b*Njdlf*BhH<9z9qK2HN0-3K?4 zr-z4z!~FF)Ec!k833Wa{z4W>%QpF+qV9v(uUc}3FEIx;&u8~Pe2 z@RidlWDghWO9Di9ILCi4fq|NOrtO{LUpt%q<$q3|4@D%6mY5QgJ3|wsT4le10-W^B z?gSe4TA&dGaBsflUkw;@w~0WLd*cg!05o#2!%!!PH4uu%kP$=p`xPdjt=gRL zKpBcO5WQ4qDD)Z@0sS$c9Dvf2k?mkBX}A955BxoJ-;{u$ffW?pr;1!aBK&Sb?})(d z%hRj{&VWw2;3f2$z_mhKFo#U&2D=TF`YB*K;10pF?i`2JyL5H|b|Bz8OQ&3&fLNGx zSd0Z~m7Cxvr{ne#fDK|Yvfu5rc|Rc_zNwneBN5O(BkGudb})r=;?&gCpwiXO`Evo4 z(TG(S77-BvM`WPSkWJ(^9c2dOK8V`q_Vk|hfw1+#$^~SLWF+G}-2XIE$`o{w(h0jU zaHg=DPsT(=IrfXQZJ;3@dZMn91cn7pNL8@W!P($;@Ao&V#h5XsVl#lWGVc64uo0IJ?j)z&JF-mKberv+$M4a9|} z^F~vR%h9HqYHu!7J2)^O9u@|65m0ru`)4#0%bJXN%CZwaf9~z+3Bbbq3x84D@|lkB z3Sb@Jd&I=V;IRQ>&z@ajsvg#lcM-~%gqijenggz26&as^hqCC*eo40pc`+)jpddte z=fB%T&YtQ(q%Q}Qs{~|5$Gu+ulM#!oU6nXbMuVP<67Z9zMSYYA4W`K#lmy z##iw}b2SQtX-I4=j5H2TX8{ca6iyk<*&BqlwP%3^0b9UnKtT$4C%4qZ+d4Y%1Bj1X z_)aZnzj(uMAq%FXqpF}rie{}VIxZFd&=t)g<0$_{MxSKJrk$x8ScvYkScry44)9V^Qr^G+5;#F{ z?^fDx++|q53^R;^5M$=G)zBq_HICaBneh7TGFH%TsRK(4><5ZYh0&V<`XDG9B!jGj z;)97;j9^QKd=wG}Di~paKus9YTi7;#+5U^3Ghc&f`!fjSl%i~ zhxSmxE}z+#S*w5{25HW+Ug!3|pDq$7n|KJBOGig1fP|~Weq$Dp&X$@Y@Oz!miIE-{0WC~~uKe}=4+WygzHR@Rn|H|KN>FmLZ@xyC} zgLb9nQ>U;Vv0yj^fsFvDbVP4D53B%`hVw8K;5@gN`^91$we}$D4|i9OAhYIxMGgo| z)XsoeExQ35%e@&^&JOsx0}zye{`p50kivzc76ZG!HRv7?cvPQ0`1s6%>V|__KYlBK ze8Ai)2Jr0OB-g*_d54cLo?u`CCF|_|JlJRu*%D+Qa8eP0KFxqQlM4}u8y9hI*+Z@S^5qL5lir7$k7gm~R@DVv zr&W-OQc_cM-d>VU`r2xJuI@Z)CnAVJMzMuZgUH+i<2tkl+=}(k(R+b68%C9!oQ%>Y zy1CZA!-!Dq8N%Ikw)_E<7R_QKdEoS*zo^5ad!Y2$Jq(~JD5;2zDz`<4b}xv#-kT??jJsUK)GHNk%)lgo~|xU?L*o%!GC+SEHKq_U!~HHL%?Y8DCyPdH4QU7yldf+qarJK6YNY_kTVY zs2(W|9xT+OPyh;+Qk}VbLBfxe=14~a6H!=iuas;FIwA^Hm;Wyw3xwJ$|K*`V|J@1n zDaoBwfZMw}DB(GO!g7Ywhpq8=ZG5D}Dkn)~>L$NvL( CSS1$# literal 0 HcmV?d00001 diff --git a/法务开发文档/image/设计方案/1701412136454.png b/法务开发文档/image/设计方案/1701412136454.png new file mode 100644 index 0000000000000000000000000000000000000000..18cb199a55c1b192a93fad815fc8019ae1951db4 GIT binary patch literal 18379 zcmbWf1yogS+bz5i6)8~>R8j>+Nu`w(q*Lh@kxoUrq?MEwkW!jWqjYzdG}7JOajuQe z^F42je|+aZjN#Y=kiFNs?E-_2u7osJQCP7EI7sS~0eWkV5X6b1!>gIV zU%w9cnUfQU_cPDS%ZpyEXstr~HqG|u5ZeY(t~Uu}IWO^)Qaq8r%x&cd2M3k8lRJOG zS5MDCNsN%3Tu5eSW^1ueaA@eoix)R|ogfJskWk~kThtQ|@|5U@9S@hg z6D20m3ck>({5(1wJ)LoONmI!DY4Uqe$Nb?xTl?4B_^7;*la{Wy7;j);@PI}`LxYS) zdOv>lug&6hZldC%p!vp}*3lu&?bd7Z0eN#)SHP4hH6tTYumxQ^io1A_S?D zzmieBGU1%l)FevXZxf3wDENZ+P=<*nWEo58>YLgE0r}s>R9KWp-;37P!p5Fmflsmz*bh=KBwe_j~!Hj>Y>kkv6d(lnYyx zQdXS=<$YTh+MP}|UGcaZ>Xp~OaLtU3jR|-Ya;?|k)1J5b^<4;Wu+aC@r}6CB?V5&X z@-=EXd?7P0hKocY%!e8RC~OcWhLd%^Po6v(kx7vF${yf8=4Vp8%qpv&^O<1s2svjg zNNqP|R@1FrxP7%fL#^0kAjchJEIRpdhq8MrGgSM$_3#*2_oSZ;R1NsF6o!SMANODi)CGDP{#zShwmg|bn7FxV;1JVi3=ADCBazq7J z*;2a*=~dYskF3dDi}$C)G@6>5Bc&6@OPKv#HoGbcQCE6lHo>{rENruj)pSJsFq;#> z#%!@5J9ul%nQ^i1l@<@`IuT#b{gnbmVre zSasbkr;UkBuKAfNSQ;#E2L{;#~Y?{hWZCT#)o}svpZQ|2=^Jr04kRewOhb=F3 zZGFAd;0LF49XFmT;c0Ymr=h)rgH7y`2XRY;CoPnh;tf_@Hq82b8jc@39t`v6tTa10 zzm8RU|y3?+VNEvsPqBMhWhzAOU-s z+IrkYmOC`#8W(nT*Lyfh)f&I;e{6IiHhiu1%G85UI^ybgiPlL-duCDfRC(guA76#W zPMY%T^rl(8tM;;Q?IrePnTCXhed|f=PgZd=ZSFPv)lx5-2u*5{V0m$V4_V)urm^+oa$g z`BzbgDUqqZjA4CFB61o$tU{S)wfLr@Cs_BCLM({nR$KM`^#h4`hqSykk@-%Mt60qn zd%gi5u+10oBe(A9z2~^*JigBHZkuD0mDzyXxu~!) z!fkB^`Lt4`rbmc^S+>$ss_kQ2m&A{3u8aP>2_8n;-pCxN4W7TzAef+!hOr} zy_<@~^l)W6Qn*-FKat!?S~b)ol)>MP;In@I!^l(S+G`TS{DHCLN%J9tA1QtBKXk#U z+3OA|5xjfX`M!vT>FxCDjm!7+>S~@}^m10rzK}caq1r6=$$D$~F40THN=$O&Bd3HK ze^w2)TbE8rLqa$MU%AhO_uUmVy~2G>RU(!?=!fRZK(h2tk__Wl!;<>+whB3uGe_g_ z^LaK}Z}SS3had}XlSL%22wcp+N|KtL(ICc@_>#ClT9$t3nE(SBzu~7u<1{Z;pG&U{ z%hzgh-s@Ke8yQ6Fxb29$iBlr`OwrzQuqYKF$UcdOYcxkUikNiW*6Ir=`e z+cjS=@T5Z}ez}~PqdTu>*WdoGa!{~ujAt5kyJA4BNVHf;eucdj9dc!^c{)H?k62>x zx$RR}tMO0Ug^hvanhp+1g74o8D(TP;iM$?4x1N{k=IPBU#b-4lIyQ=OQsg9;VQ6VB zV2xAC&c7-A(*?JYV8$>oEQ-?6>t=9wbaeJ>8O!BghUPhB5;w`ktG9SqMeT1!dJeqS z|FFiuP44U>T^&gYv6N(Vz z`MO(Gb6sL)nV-_AzCJ$k2XPtx(4ItmQf}YX_O)Z7$pM$T`j`CZF4%DU_Hpw{0~~Q97j&G%zv9CNk{eQVntJ>nK4=PC>bY~CPDlWl&>N`I5&4a}le|~()Qe9cm-sGM9%-g}5sf3HQ`ixx@)kcmYRW$CU zB7+1$WMZ!uN%b{|(kk&?TkR(I(c}lTqDV$(KH)Y9KiI!V`i@X21;=-0FaQ_xFj10B zdq-mr!_&Z0M~4PU;pUopEmX*&*7d>$Y2nwns>OZ1;V-xczDczeYFtNa)&86t4WIAu zOQ${Va-Dfi?h&8Qqgt&J%l|X+c5@qDD64RlzT(%xriYx4LWym8w`3-hTqcz|`T00D zIw#6vR0O8X;OAdYo~LGDnE(NGCn)K?30s`^1&Bm!9aibTe@kL zBrM(d^eYkX_j{)=+|o}>%s|dZ3j6DLQnz;CUJ0mi+^kB$@xZ@9#Gc}kI`i>7b-7PZ z_SB=F+8RVu^S(FQzh$jFB(S2nckhM@o)=fPARnFf;oV@v!o!!%Nj`pAiaqWE3oOW+ zKkk#rvXF+{kbh9uB*QL#+g$Jx$DrW>gAdKyaU7p+8phnr><&7M)e(m(H_RBvgNFtz z9;D2=67Mt2J`4BzUuWK_xYF-=tc-kbNQoS#q?%JCH8=WccwxYhLI`h7VJUWcHdNS8 zjk?#HP>uAtA|^53+lWHxtX&_oFLGUNt-=a@UB_mX@pmWBO?WbH$WR3C$!iQN-aN%# zN6^#pZapo&7I#`@7Ha%~URJd{+wXDPK?(E0x5(^ITW>6ryC`Ral%ig?`bjng*QFHa zGNrs@bTr-ylCe`Ky2>%$-xfZVFM4QJg;!j&be=sfU6`Xwk)gcH`e4akQy)fU(LAlndBh; z26o@A2V~s{s?WhE2b@10bJ^=V1K(Hoxk$1``+K84>w<|^6W-l z%eklE&p0tIIhkUrBd$OeT=Rw~a~@kNR_%PP#rxgQmn3W|*;Y!68=g`P=IP6*sY%2| z_8H8mFlh2rE?`NdnUf@N-J4d?5EH_)(XQ4*yGU#O3|(Y zlk1lql#u`$+h~g9hP|_Xl=9?b3dqT@21JY5jaOaZe!z_teA3nRDlRZ9D=RNAFI%gA zqyME%ouVcCz%{wb@Jiwua}&3Th@UUfPCq`x7o%^?TH9%%YU*N^xrf0<_dF>~@#ual zPq0@x>6XX@-IMcq%HzKY@+QA$PL(il3$Ww#ro&a&*N4F`} zO{QDgLJ^lvGv~|kPol~HVJ zbX=eCT6FXtWzoREBBqQ})2_QIZ)L<4e)wF+ZKCJ}pCYbD{4S}3aeB((v!Y%WW=>^d zB88B1ngU#uDhGx4y?kW?nfCQp^CXGyQkt@vP90HQu@NpVNm?UpjzQ?|i(SQ}z!tZ{ z(aTUVEoFfjfSTH?J~iL<$B!SsegQs(OOu`6M9rHHd*hy&r8|SWqfYHweY$$ol@oP- zL~{H?@8i-VEXtT2@#hy3B_iczW%CSrsL5!uOmLKY@7_}0mY$oPt=GB$lglB@HX&)u zn45Aq0T~qW)f1+sHd$+;;Tnlmre9h$N?w1sNpmv|k6x<0%{c%sdySy6HTRx2Rxei5E)l}a_+@f;vJWQkw!9}MfuGR+t$d5oKrP2N z$Tu&VfcU3%*khVWnt}L>%1d>=#2SwK({&2^6Q<#KDpy$*0tPnZBC;|bM9>w>Kh`+C zsn-8!E2C;Jt(gMX!sTU%j-Ine&x`MA%(>e;Klsf}RS7p@T!VZa4C^ec_j`T5^^X~i z*J=l$d$XRuMd;qrB%z~n+oXiw=3G@(_8^Nv{E$hp@$Vvw$B!R#%9oOs*lttXU1Rkp z2o4TDf8oM9YV%;evctYXA5V%-R?Oa*sPy2VHa&8maP&E2*^@|N1tB?Q8=HL??W~c! ziI1htnNdS6sSw$N#o@xuo#i2$+fwW?AIgXw)s@w4nbU3i&wDeq;7nr1)Y$&2O+zDk zt`T>xIcR+9!Ojqk_%*>DHOB}c!WtQ__?QRL`w#Z}h$x@v=iixlNaP`q zXw6JThL0!8)Mb8wE#fr5*=n7cnp#uz;~JDhj~Eyv*2_lCqI|xk$WJu{Q9bP% zIg+0O77evr?|u1{Ls!#6&DBh2QAkVF0OC~uPu5#4}W=OZ)#S_d2v1M zl~F4JG`#0V+ieO!D5$GyHqm0_+IZ3ShEgj;;}&lBs_0iYq6cUVh6G0_b!PD8oBXyO zi=I*lY?{PX9#gTrAd@7S5QRqeAlC$kbq77-K7+fZf4{cjH{RdFhXZu+wRVlvUsK!3 zs03Kvy|0@xo91|XQ#wTA9_#Y1asU_qN5cgr?)-fr14)gO5Fx@N&zk3AnOoPTOne>h zcG>zn>uL)2TVxg3@t3Mb&8n2oXaZa;;UW>zJ-RgmuZ()m zIpSR={6Z;kelBOrCj6BT0E{*or89Gxv^%b-N#T*Ry?C3fspeGqXq zyINnIc{zDLNV|D6amROeNx-y)hIS&(y`tgE;|L_SeX8}lw3djzCBfjkaR_x$Q{%6_EK&2~55T|PxJ@bhhw;kB#-@z@Pf&48@*5W5cdC6VEpqcuYIU;%Gm ze}B_X!pQt*VfRXv{GFGU>D*LYl{v4yD7h{fLqoE=-k1Kd5r?tdA$XmPbCGgy-{&Yr3b$)}QcIk?i(K0~C=_^_9pmGl zeV4mVG6t!;h&@jhl7(hC$I-^5YD~pV{NCNnlqcANXW3JqnalZ=y8OcXO1Fqf#u95m!2R5;rM+GRS2{W|yyM+42mTE!)s8`G{sk z>98|W^6Ga2Q*}Mn&+%53)_9lkPsa|GQYcr%MMdw;I}4@luUA3)sles9+h2Pn- z8c?SgLo{**o0_jmRfXkbZBJ~A0;&!i{laoG=DhcOy=axQ^d(-BNYju`ZFogVT8nl6 zduvuF&2Ce<;rn-$N3AADjCQ`p0%$zYDdp8Oo72RX-0JT zLvhgOQyBBW!fyt;*D6^X-_CW5T>rI@hxYpLv6G#my@QCY^ZL&K&2{ahSw#{n9H}=6 zf!0BaBTTvunaXl4c1{v@GkpFH5uG~TEqRO4VMHeOW}k6iXXcJOT`x|%H)Ne%p&di} zm5<7tfzs@5wt{$uF-=t1eMW}1^QmkBWWLAUK25pzEdH|o5!_}MVlZ~z zxK`xUMmJbztN3*Mh4+iM22=CX4lF@TPGc|7RZkb3FBbgnK|hk6yQmz&p@GrC{OhJ( z`hbwHprNsx{n-i@cF>KkrL(qrfS=Q96Mlz0wG@~dudJ0OfH$->*Zn>}a zGBlcYGbn&#v*2pPrll&^*hb0m0-8cf*Kk!&(7_t_!EhSq`{1;gk~wk{$I9Sqvif7H z@JWY$)VRYJQkl(g`iknhWq!=_%>J0;I@l%1xRVvcq>ouYo7ieFHZu++-I%j<0*h$m zTFo$5`_moEn+`KmGfL5_DCL0+@BfLvlW&hdXC{4|pnE8UHp2f4ZNXz@t+h;< zTcs`E9uIdYyKpW0VKnv6IyawDfxelU40yr6&0Li>KPTwO>nV&Rj^7{Ov(!%Nm5iZ3 z${(AdjqpUhRW1gH%g}^I5~HoJfL3hgh+D*ca)T>}kIa;g>|Q3$usoNrlV+mHqcN>6 ze{limMBw%$8btRLDvy=yw1&d zoXmC^GA(!5Ug*)P_nUrP-~&Hr&?q|^Jw0LbCr~Z54T%bB(7JH%-o0nfo^eXY>c~?M z$wBW@{|{QWv9Zx+trBDZ0nKF-g&o7?%F0Tp1`z;9u`5Y`TMiimbL{=;YV=$yI}nVv ztEIa@zxJ2fS&^~f9lO$7e~YZwonBonH|(P)djL2vxr-AEww50DT75WKwAgz6?r{OA z1%akLsUfYY6SFZ+D;;s@XKFz)kFfU}i~Wu1l9H0n??Ri3&aSz4>57qofq{z2&(FX~ zl{x2c(&$Odw%Xq3)_zn4*j6@vN%gt}#Kd-+t!y&sby^qH3y?-j7_eT5{&q-RxJTRN z!5<+s3L7t8eV~XYtNrb7-G#J{_0iGJ^&edS9P;ADi>rRtF2E2|L`O%3NG1&xn(G1s zJoMA}VZI+J#|EZ+iJ4NI=;i|xwS-at0A*!mtZ824PDksZDkkI3E(;3=k-4u+o6?nk zOk{&L0W!x;-JV=ssnwJ&BYI6UZJ_95UL-WWQ!V&~AC5bO zdF;w+__p|4Q{;(ul~zD^ZeY(8as&jBxj8+u*dN5~v}x;;^{azF(h?Ir+>M8R(os{t zT#($X(oRTEO`UL^*jC!=)9G?{b_RIQgBSdQFM3PtXBuIP7uIQJ*?|`ZR&rrS{lL+; z=BB1|=gwKj^0p#nf6}0YCD50WbO}gEj&{pWxma04>+jNn7A3ryd$3Zxx>9y%LiQ2H zOeU+9;hLJ~WRdWZJ;4;w>+9>Xi=95!|A6#!)G@|wUMFl#Uh1%c208-B+=I1hJXqnk zWFJ-U&=@Y{b}epm%8$`=m4YyFCd65`itY?^{PlT^(E)nN5tOzj z4<2UljugD5pmU=T@>^4!+CsiT31?SPSFY8EgzH;@B-yM$G=q}X)q(`IN0F58huGoH zvMDGTL^}27^+!LHH+;Q8PeUvhUoR#s99xb}Nc-vz0&#Qy;6Od>YcWoT3&g*$Q?bP& zXiG&;%x8uz`qX6eeQz;&2xcf|Pb9@SUelOfE-4Zn%G2-0<2m9*-{0Q{&P|&F4e{Yw z$VJO{>l3xZ!^6JBY@wl{Is6OT6H(uo7#Wl0z5V>^O1p1nW^`Sohrxjb6xUp`5kqEdy4%ErLr!6Z7BZM8W<-P#{e7t4W*s5p1fRUwItA<5*$%XFdodCKU^(OYNF-lcI?YgW1i_s z5MvhC>WI3Cc;!|GpF*-OJK-xg2ou>2I_2jf0~590kXm9|Pc4^(tC>COCKogih>LyJ zF4eIN5~2U8lfpQ_2J@qx=Vekw>!tqefgJ5(t5t5tBX)l^kM6LLpYrxbgfj4@prE_?L`F_dF3(kab6z1KApzq5 ztSnl#Qd3b;F*3d^y7Ccn3B;^F5~`0{?lZX?()wuuF~qg3Q6Sn2wX2}u$xlpOnk6GUp&NzBW;XuY%8{(bXEk6c zqURGn)x^X^Jhtfn8`k8sw%%F`F&ww0kmMU z2Wd!T{H=9frMGV%lfO*Vk+*DJ0CAG=zuy-Io6)!Rq@0f`P+D@{D|s4uWWj3Lp>=Ev zb{K)5^*LB2lJ)bRab9kC&gcKi>r-dzkb$MZ5$mP zle;R=%xYx*tkUe_qKb@+tK?-f1T&M;pEZ@!-@5Tz|CQ;Sn2gKcJLLIHBZ3wsqu&Mu z!hZ3fEBMd*!JDDBModLXsS!g(SwKJllyU1ZM%o+*d_`H=;A27;QIl+>>iNGy_>BXE zda2#+$s#85wQu?f&&x zz(na`EP4W-;}RHPN&EWsYeR$ZJl}1}c2UCr@i4&hLJq>b7)zaQx4UA0Jv8K! zQUDZu(LBg_0z$$KMQ5maM64iN0x0=kf;p`>U8P%i(0@An(pe#OQR+l4EH2u_wm=#7 z1!$HfSiHS;12ovu7gwu2D@gulTP`Z#HTU-VDJ4qE;+=)rP; zeMtUmu?3(d|FwC9sJE8}7X}I9??1Q;i39ipF(($35OQ*4U}&iS_=iD{7f^b1+}zx( zs~_#=yAmK^&&(BnmQAzTLka2nH9Ca$kHO+n&g-S99#X5}Y@>mkq-a~OdPVuWXC=UD z)WNDT@ZRakZn+Jz0z0e+*Wwy8Hc>6Qwt+gop2-=%F~CAI5YF*$MSz25Fhf>OZk^Xn z`^=&N_2t^ZO<$<<^L-8I+94q!NNOX+f6s_KY3o;G|JKGunsXYk%HHzY>}3 z`y1FQPU#tU@Th2zBM{b1s$7W6AJQ=X1SU9ADjqM?YPq~ZL*@GeRL%eJch$JMQiF!7 zA%EgMAT!gH1<;nI$N&5ufD)>Z{IkluX+7UW|J1ANsLlX-|DOVcGQ?1wZ7;Y z_;;fWbftC0XQ0t9s}0x(+Ux7*gIDUq6Y2@)9vgicg^b}WO>{hj zvM?`i1wS5F4Z60vV%}NF2;z1);5^xz1cV;RW}4V>)?7caCVcwzNvqE1s>Y=bRhx%{ zf+DT6rdwpFf>aR?U_V!aI7_GSa3eL-ZHXpmsp^`F-`RzmvP|YD2-wgXQ=+sNTU?Av_oLWd$-mrTlbJzW? z4bEAF-@GVpKFtEn|bQ zejFU+P%)zC4Sq>iS57@MUflvLuryrAM4XY5Vl$r**8hmNx$*ls3F7G6>NHo#+k_(4 z{S#i&vB?T0C>xNG|FsmHrRwZEzf$GWDqS&l=es?9&+FadyP^Et*_tjX-S9%yWXel1 z$&YJg-($|&!AQ*~d<uV7S*EhB#l=fz zqwUn~*K1>AZxgwqs)fFeyw&hqPw<6VcQEmlWaU0oXa~*NebR3Vj`}8S80d2>-=QQ;gG9Pe~ zH8e>OrZETP)6qbeMIdg;2Eo9&2pIQZ!kghTIrq^*S|+_>)@??{jb?`OsZ0cd13(D^ zp~HQ8v?)YpLkSoW<~zW0Sh%d4{l>MTB@g8M9r$ACX}IM^B}u`#!`JpjMZ zO39`qccrSTDhYv^D+V4Ji@`5!hLSb7tTjx8M97s4W8UZ2oWgIQD%99=hH4?Bc2h9V z@w^nj2hJ0xlM>0KN&M@1hJ7Zj5iBqf94_Uy^YQT|r%vJ{B5HPe&_}?2g!rH^l%tJ} zV$f|cE|Un0iJ=Ty`{z}@efw5+w9zaQbf4>Zt6Pd|`xi{2`{zFhURhBjCa*(Rs*38W z88WlJgTf8!3p}U$?foEl`IDc9l^;#FgfmeH`i$WdQQW&XJ=GY*bF_vYVhdP&LJKYS z9;#HV2|^uN>PhSK=Q*)n_>~f-;TZ0390(GWuC6YFQqENE4Gj`jqy8Hj_LWo!-p#YW zp{J6H_VMvi&3aXtmRV-Iw>Iv0e6Wt$x|v^oynM93nLqpvo50xm+jVA96BCp7@0o$8 z2V>KnOlN>P72Z&NFO#rmna{SlySu~AjcKU}o0+Y_fIQ-KdMpvi`eNN`tOAW2E%q7e zf|nmb2?P@r`3BJ-c;UGFyQrzDi{8&yp@(S(^uW!+8ZG5tk$vZ_J$?6&6VkL{p(BnT z6BCmMiXco8lM@qriiZX7rkh|^9TToh69!d1$@!?}4S^q`F%63|yWD>NCF0 zUV;GsXA7;@CuF3!1PHp~MS@V_1)~smW%icsG2n1mTnJC7;}YD22w7fKP&><~D+|bV zo}R3p!hphf@1DEAMW8b}2HBH$lq@V3ZC{^Md*U%Xcrb>Kc&@x&K&XgH)v*_nQBPTn z`m@5+ZS?`x#Mp0$oSq!-pVy6KF_hQT)P&pxRW#pv{WUisudIefyC*NoIrm{!SX7kg z(W?r&{9(TBj?t4HHtt^St+`Gumaf(jO113l+hWq#YF5fw`GgvY_lv#fA)MDXRa&q$8 z+FDgr6%?QdDKkydr|%WvW1_k2{K&Y7u3gJ99%AK2E4lU;BsbF<$~P?sHO!x!rwr=H z55vBnrlZkPW*9(wb6c&DgoI>gXFrX~0L!Kng2DAKz+@&i>ahE};O*pni3n!RDz{wS zc0VE(FDWy&l#~<*GnC&IG7!;>3;@rJ5ss(16BPBLUN=hOkB7h&5FD`po+si|d`uOS7{&t_n z|20%yZ7~3X0(NQXS4#K(<}6p{a|$lIotBoC;XHl(V!8V9YEOO2(^+CSOMziF8e7~@ zf^0|MEL9&svT3QQ*0D%1KFhh|($Le-@HhQxY06L{ee%wY5DO3IA9VdOU%S>M@9LE+ zYa@26>o3Tb>&cz$cLsFzk2#?#0~r?LFXw@J|Fq-7-9rF-yx}NP#=!w7Vb${E9Tc}B z=tuHIuOk-10qCwxOtN$k;vkPt=f3;Dd-rYyWKa-7<^!7pj2$t($sj8u8~lJCMIB=(--8tvcVFpX3%ji3%hS#Dq$VPOgJ-+nzl zJ`QeQl{~#ppIc0SI))g04DdE*K;7(4l2i=Yy!D2>nPEHOh+==b>0vh^7dvH|S zpDTc&AQm<7f7pbyN!BQL1kS5>rZv)Pd9Vk3!`x_7;$PXb!HWT(0m&H&)D|Sn-g!j( z*&2jX)6l^6alfwl2wg{JdL8n04YMOw#iVe0Ie&Bn=2!qxcH0Zv>-FTY>K+|oiDw_z zZ3GM?CFK+(fb1KvOJ@LtwLh1<*{|jA0Eh#=a~OBO5$$*|5A`5g3IPt>KZA>zOJLx& zD9Ibd#Kbpl?Di;Va2?Ejw?D@`5_nIH8`?9_0DhvVq~6FLlmGuQc+ZX@Ar8Vmf9}ZC zC{GXz^Kf@x&+ag44yA*#HL^Bdy={981)#qEDV}n%XdWF3Ntl)&*J*`~Zvlmxo<0pm zc=%FNgOX0}PXI$5kb{H&H)F^!a~kk=EipViz?3ZH!V<4#cf3Pk_!n_Io>FS=2_NV$PN5Wr~(w~fBF2EBEY+Tr8J=IBANHUq!fovLf_&c6czwORaJb!dX^jD zgFgYUcz>2=HGo`*A-&D^FmB~yXP{?b(_TJ?VPvELsTa()xx1TG4(dGZc|?|{6!(6- z)9DFJRlxl;H8mAMNbQ}^4*{pJU+aU}faZM|8N?ITj(11NZ{2!g*oy>4oEuHGi*3}t z`l&>POTL1Bo(zgrm(}kO|K+uJgSY&Ygn{FOAWU4OuZWT56FD3yb&3#3MOxF z>6)Xc6{B#m6EqCWX>DT8_6X98Wi|?h(p8d`wbn=#LjovC<76W&Cx;PMqY=syhyZ*c ziMOCldXhUfpd&(P^B=!c-Lt;ZuL7&9syfWxiNb z=w=wp{rfp_>6!&5LOLcnHa#I6yj(>mak-jmzVoE|uB@M`h`ci+}nOb5K z#>eT|S<|7PzV!$LfuyiCW1k;6K6)qAYuvEEfYBa4eAw99>M$Lmu-C5>C;E|%F49e% z{@P8latei*>vx`%g@;AOcP{y0k4=AlBEoe8H2qmu>KC?!2ahiZ)G^XH@qf+hrk7@8 zQwqxB%Nf0v+$_!7F1dLbL>M`jv0?H@`*m&SBm^7?dubJsU!g-~*ONuUK>ba?8$bmi8GYaG6#MwP z%>nIi*$e*HI-qCGY~nMO>C;V{|*YAhy;qh8bLTQt|08_g5U zFU16tl`@$axF*%;w-F2!AMPiFsZ-O^8ug_1pyP3Vj)-WgciFs7KmcRE4|B)Rqo>uVmy(zK z;beq-xZ;*M-E_3w%07rDqd@-jX*fCjK^xQf6}NQSqa9$o>C}pX&n_(%iaiv|6_*Rx zy_p*ALTHHO(5NV`R}~*1UxRunPxtD1P~r`DGY*({ zvRTl0H0jyG>-pM;zicsEntZj!b)M>=DsSVR8)CXfU-L=$iftmXozIWLr&cR1sS6M? zZfKc*hCb6jf)WJs@B%F2gl}H{rQO@t zyq(P=r)YnCnZK2aa-=35_XFBMPIeDITKoxC00p;ZDBTYV= z!cr;UP0KAUAjlX)^nfYKbGO(jjLeWcGg0bU-z}9-(~b-Lo3Q_`CLYNa@REt9URS_) z4r)KZ#GBY0puB`QLZMXbe-K>K+9CqIt96z)`D+Lf97tPKda+pmn93%$jT~YDMQnmZ z`Nl?2zNe=LloFWks$n2gJq+$Gku`qK_bwxa!dEaskAm6OA@Kgooi?KVltD9z49&p3 ziQ$9sfkA>wZCS@~DV7O_AbYH3I!^2}k;p1?=PL`p(@{Z&Tj3U2RL1#gZaZl;#XJ2^x~p0)f-L@a%wU0GC8D)$f$lQY-p zaYKIWYNjoFW~xN#~r2iPvZJ&zWl5*Wz`_lYQFMyh2myadfcUvuBkbuTtpI zY!4b{uD{3-D5$;I!}~JP!@!300~)agoHprvt~-_JA6K4e*@`!0>G`=!H)-w zrHhmq?zBo6m5)da?Q$Y@>zCB#i9Reh2}$yxD|b$rOCuih~ArP+LsTg}9kKu&p$j3l$GGlSOl6OnI1?6z0hGVnaflO zAd9-L`PTSZq4g|d+$rs2)#Oc__K9FG@%X5tocG>T(wO;j*bVl4;Pl#iK)rdc^I#pF zkMtp@Uix@a`H+-}$qF1V0O;P;)dfb%-3oL(pq}W_<%oELKHkVw;^tMJ`QX=`p^7eq zg@x7B(9mCGVF=_EY<9kGI~jMJK(dV<(mneYxwjP2qaKkZj~jfuU*e6he`(Lm<5wl% zb-ZaGeKVVeX%Ri0@$nNFt{m?w+XU>D+;fPwKGtzGc>ePJ0haphnOo6)^j~{t7=P<; z&bE_tTCYV}jffEJ1V5C4(cy+GHEt|szXON4JGx-rdZkRyGiI0-U?Sq)8*_Eqt0s?j zI@yQ8<&%`&{dJvNzPS_|@)CL36cTee*X%lJGb*pU)%JP2N5t_rzfZy$|MZf2m6>Mv zy+pxd+;Ztx0xnx7F0x;5wfWMBk!$T_NsiN-)M5BPZGxu$3qauVHz4%hFZTLMA0Ke*8&q+tXNdKdWvDwsh6P4ZsG#WZ__9+JO6H@4V0^ zo>a>lA=b^8$!|SoSfz1Z#K{L{bYA$v_G@D-+IEuSywQ~fVXgef1HZ+{sObh;lmD{@ii+?H$4_s$%z@d-@7LX4@9V-N9^zD^uv(c(+c9< z6Tq7g{F_MU>geDo7@ol2Jr z_Ou@&Q8dsijqW6=(}Ts#tKB%>JrQ?wJOSk)5BMa|`<6$`%YhBCKlkCVql@e! zxsg6_7HUQB$DNyb=}q`pco&lKgrJg68yiSN~_nU3xG_0m$Xr>DS`- zyi7++OTuPCqA_=Ii-_x^5pAQ>^5ro=bSJo;RFI=( zdc?%Gfwcs7wrIVMc>g@Y$^*p_JW&T5I4}@ED26wAqufW?9l|&0Re^p9XMZ~Zxd-wS z7Vu1FPADzmYa)O$PdswwjU59d%Wz?vhC~uriEq8j@dpPZSSFvHEM6 zud-0=`)auplw0V!tqlzYlYSgP4M?F5zgM{D0q+J&4&xZ`;^n8ut0^So_$4FlK$HTi z+6LMbb)aCtmlee(oG};EJ|s^zfcA#zpOnXu^B62j6Zj=P@EPRSu5EzX168$J*+xs| z6C>e|{LhwHpf#Ln7U=QQU8hr_3r&z*mG!paFq&J!7PWJ3w!rQxNJ~GmhXDz#0$UHp z`!)=g2smMXaBu+J=(-|WX)VmxGo)`B)QWzA_v*}Hh0=S^TtX$(X#j}LA(vnsQ4Jk# zXh7C<4RWbHl5MpTgB%s=2RqBn^-a%;|K)7^18)3?lR@zaZXd425A|3uG5_tGI%~ct zKgs$}B)xhG%r{j)q}9~aOijIE?Q(TE*`Jk-fyT&}*;l?+g-y1GOMP~3o-y~^L|bxE zQG^%kf4e3AIa{wQ51ff3%JH_lQtEW-$lZhwH`qNhpOO^)ZSeXsO0UGu&3Vxabn>D# zOo73AsgG3GwkHJdQ^YISe7}XR^m{QSj&$ z(+#7hX((s@Bu@gR5C~K`G)afV0g}2A$hQrY2t~}g4yzS= zXgDZm9gHbtoYrt3T)?iPU&JYx4pnK3=Hk$*!vXdkd8W3IOxF7Y3umvW*bh`!l0+ob zwDNV#jB#MWVKi{u{cV+RG!PgN;7n|cV8%f$u8{s{*)g2Dfm&q;x;UIV(gh9K-bLmZ z@(#2sK3GU-ez0jMW#l|U`fRz-eTi5Wfa}A*FWxc#9!~S4m{$C+ceuE?fM$au0l<2X z!j_><8i5w%ng`Yb`>X^xSy?FC?ilpwXOEuXN0s_*Wy&b_axkp*tQP4s z^r+35)*%pWVBmtU#q1U`4F>aWr@*=XGb8F>~aBa1JQcAVpR^3V64d5pM_l}eF~hW2|$0y1YAtafuF|2F&#MnP}H{w z3FQ?PZxRzX!(K4`(enPt55wJ3JQ4(8Kc#v2a2%h=6t;dB8Wb!#Ou8_u4FZPT#6)y( zqLQja0ZuwW8;9X0xkXe=Y*I@Oww(-G7=aB00y~f-P_ZFK^f1r<`(W%dR}e)a0s|Rg zdcH~_`1Ogohg8-y)YSOFjBnp2K+3i)jrPCrAr$Z+JR$&v{~Qk9Ltdi9Pl&(GYyhcP z9(d&30A@g915YIm3HxU$#0SDN#rFJ?JSotF%Egw(K+1p?+(Pw51rAtBEJIppYK{Yo z4ZSPtECF9Jf{p?WUWSwvP|+Z5YD9bQxR7&*sRHz|{LllTX=%8Q* zP<4>a>>L~%l$3AavLKKlK*?c$KA@rNO)<4|R%>7(cH&FT#?O&ok0BIr`k_pR9rCNU z_h4^tjduVd0PLvMs7FhnY<*DYUEtXyC|}RUbn__ai?Ok>z^dy5I|FBfFQKGwc(4c< zO`As=&z=$!DTNNqA?g`1#@yfY;^W;M`_DXs|5JgXzM?4sZ?-y8dbsLz%7LBz=iw*W zhVrZ%@H`j>z?nL + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/法务开发文档/开发文档.md b/法务开发文档/开发文档.md new file mode 100644 index 0000000..dfc2514 --- /dev/null +++ b/法务开发文档/开发文档.md @@ -0,0 +1,7 @@ +##### 1、账户属性 + +账户包括姓名、工号(账号)、密码、电话号码四个属性 + +##### 2、数据库设计 + +###### (1)数据库字段命名 diff --git a/法务开发文档/设计方案.md b/法务开发文档/设计方案.md new file mode 100644 index 0000000..0ee4bc6 --- /dev/null +++ b/法务开发文档/设计方案.md @@ -0,0 +1,23 @@ +## 1、整体流程图 + +![1701412136454](image/设计方案/1701412136454.png) + +**新建案件**:点击新建案件按钮,跳转新建案件界面,填写对应的时间、单位等数据,上传所需要的资料(必要和不必要),填写诉讼费、**诉讼费截至时间(短信提醒)**、保全信息(冻结账号以及冻结账号期限时间,短信提醒)、管辖(?)等信息,点击保存,新建案件完成,此时此案件处于**待开庭**状态,可以手动点击结案(如果发生案件取消等因素)。 + +**开庭**:在待开庭的时间中可以设置开庭时间,到时间系统会自动设置开庭,也可以等开庭当天手动设置案件为开庭状态,点击开庭按钮,案件将处于**已开庭,等待结果**状态。 + +**结案**:**待开庭**状态如果案件取消,手动点击结案,此案件完成,流程关闭。在**已开庭,等待结果**状态,案件结案有三种状态,点击判决,案件处于**已判决,待处理结束结案状态**,等待案件正式结束,点击**结案**流程关闭;点击调节,案件处于正**正在调节,待处理结束结案**状态,等待调节结束,点结案关闭案件流程;点击**二次诉讼**,此案件结案关闭,备注中会表明此案件进行二次诉讼,并且二次诉讼需要建立新的案件,流程从新案件立案开始。 + +## 2、基础模块设计 + +### **(1)系统菜单**: + +1. 数据报表(待开发) +2. 案件列表(优先开发):方案一【全部案件(列表、新建、查询、编辑)】;方案二:【一级菜单(案件列表),二级菜单(全部案件,待开庭、已开庭待结案、已结案)】 +3. 抵押登记(待开发) +4. 账号管理(开发已完成):分为管理员和普通用户,权限不同,权限待商议 +5. 后续添加…… + +### (2)数据库设计(待讨论): + +案件属性(是否必填)、上传附件(存储文件/文件地址,是否必填)、每个节点是否需要更新数据或者添加新数据